虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎ntqo泰xxu克eahqn新xm_闻ifnn网d版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎iwj泰ybdb克yrw新wffkk闻b网fs版权所有,请勿未授权转载!!!

entity f_adder2 is

虎shkrb泰mhs克xn新sa闻qkgl网jqfyg版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎br泰gaqw克cf新hespu闻ncd网sn版权所有,请勿未授权转载!!!

end entity f_adder2;

虎rfq_n泰f克f新rqu闻i网ygsa版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎mywly泰zrx克x新qhy闻u网gp版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎ov泰sp克wp新tzq闻lnb_网n版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎vc泰zphbb克sh新t闻hi网w版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎xm泰mivmy克wqa新rxgcz闻quad网fdocw版权所有,请勿未授权转载!!!

case (s) is

虎j_drq泰kmnx克_q新ba闻muh网gvz版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎g泰j_gsp克kpp_b新me闻tdmw网a版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎f泰hdgx克ypfb新qs闻dfsb网ema版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎x泰giefa克shh新xx闻nk网zmvo版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎zs泰qvplf克hfpcv新vgu_i闻t网zs_版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎db泰ku克hlfsq新vjcb闻vv网d版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎qagms泰htd克oqyk新_zdkd闻dcgw网x版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎vy泰boy克bavj新dnwlv闻tqxdz网p版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎b泰xyfz克iv新hkd闻yq网j版权所有,请勿未授权转载!!!

when others =>NULL;

虎psjt泰mput克c新cwqox闻cw网zmoo版权所有,请勿未授权转载!!!

end case;

虎momt泰kqwca克a新_u闻c网fanr版权所有,请勿未授权转载!!!

end process;

虎t泰pdq克tfr新v闻ftt网varam版权所有,请勿未授权转载!!!

end architecture;

虎nan泰eoy克lsnq新qwgxd闻gq网n版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎og泰ev克qo_bb新tslb闻ztp网lyonv版权所有,请勿未授权转载!!!




声明:凡本网转载的文/图等稿件,均出于传递更多信息及方便探讨之目的,并不意味着本站及主办、承办单位赞同其观点或证实其内容的真实性,文章内容仅供参考,如果侵犯了原作者的权利请与网站管理员联系。

登录以发表评论

评论列表

    暂无数据