VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 5399
VHDL报错std_logic type does not match integer literal
library ieee;
虎cajj泰dwoeo克yd新ig闻fa网xyl版权所有,请勿未授权转载!!!
use ieee.std_logic_1164.all;
虎irnki泰uk克z_gfu新r闻cm网ji版权所有,请勿未授权转载!!!
entity f_adder2 is
虎zafcn泰o_克tjgua新fnkhe闻mfj网oloze版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎h泰mljdv克xj新x闻tcdu网rgeze版权所有,请勿未授权转载!!!
end entity f_adder2;
虎t泰ha克xq新dwm闻svfof网ybmuw版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎i泰khg克pbq新un闻vmnn网mzwu版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎g泰gyncl克vrtau新kesf闻dzww网mto版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎k泰nof克groke新uv闻an网faa版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎gzv泰ve克h新qjf闻w网_hax版权所有,请勿未授权转载!!!
case (s) is
虎jj泰pow克hcku新co闻e网ebz_版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎ori泰a克buf新oy闻ejsa网pmsrr版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎umrpq泰ciwj克tm_qr新cgph闻j网mv版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎aw_泰agtg克ww新lqg闻s_网k版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎q泰rc克f新qhgu闻z网qzx_z版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎utbd泰hoz克r新k闻vxxtw网ofl版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎hejfa泰ioedq克jp_新rjkc闻_gzu网ta版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎elax泰lb克hhht_新bcumr闻xbsl网egsvn版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎hiian泰t_yae克yo新wbgm闻q网usjc版权所有,请勿未授权转载!!!
when others =>NULL;
虎ydqk泰b克_zil新rg闻igc网y版权所有,请勿未授权转载!!!
end case;
虎wp_泰eo克t新yv闻py网w版权所有,请勿未授权转载!!!
end process;
虎oail泰s克xusbg新s闻a网rqsu版权所有,请勿未授权转载!!!
end architecture;
虎itx泰byf克yl新lppc闻h网s版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎lzkq泰h_克gqm新cdrel闻t网edq版权所有,请勿未授权转载!!!
声明:凡本网转载的文/图等稿件,均出于传递更多信息及方便探讨之目的,并不意味着本站及主办、承办单位赞同其观点或证实其内容的真实性,文章内容仅供参考,如果侵犯了原作者的权利请与网站管理员联系。
评论列表
-
暂无数据