虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎_y泰bwle克gsbam新ywh闻ruy网mlzq版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎ncue泰svg克ozx新sseb闻li网j版权所有,请勿未授权转载!!!

entity f_adder2 is

虎uecva泰fx克hfjc新dmf闻wbik网wunf版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎zl泰_ao克jbw_新tgw闻yondk网jrvtm版权所有,请勿未授权转载!!!

end entity f_adder2;

虎vsj泰fy克ndy新kuqog闻hhmd网j版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎x泰as克fz_w新h闻fr网xlf版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎khy泰nsr克x新zwlvx闻w网e版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎fqa泰v克owj新kaeb闻vnw网c版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎juhdg泰untd_克kco新ryda闻w网_l版权所有,请勿未授权转载!!!

case (s) is

虎mpaa泰atm克ngkgj新xikf闻kniw网nujc版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎p泰e克ph新cymjt闻jggpq网rjj版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎ses泰klgz克g新s闻ze网l版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎stuin泰b克fjdu新_闻lidp网__gk版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎sxdb泰mavm克pgr新kp闻v网nl_d版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎a泰n克sz新ukxo闻f网gmlk版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎z_泰o克acy新radn闻jvi网z版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎tqizr泰eebb克_uo新ckuz_闻hbm网j版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎b泰cr克x新tyua闻fz网aiado版权所有,请勿未授权转载!!!

when others =>NULL;

虎imbet泰mgmcy克d新zpr闻ovlss网ad版权所有,请勿未授权转载!!!

end case;

虎paze泰uj克evoet新y闻k网fu版权所有,请勿未授权转载!!!

end process;

虎_lwjd泰gzilm克bjyu新by闻y网m_版权所有,请勿未授权转载!!!

end architecture;

虎dz泰hzjvy克jel新kb闻pjmm网rccxb版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎xc泰f_suq克e_ej新z闻dx网v_yqz版权所有,请勿未授权转载!!!




声明:凡本网转载的文/图等稿件,均出于传递更多信息及方便探讨之目的,并不意味着本站及主办、承办单位赞同其观点或证实其内容的真实性,文章内容仅供参考,如果侵犯了原作者的权利请与网站管理员联系。

登录以发表评论

评论列表

    暂无数据