VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 4907
VHDL报错std_logic type does not match integer literal
library ieee;
虎op泰j克delgr新icqd闻ezk网tbvmx版权所有,请勿未授权转载!!!
use ieee.std_logic_1164.all;
虎erbu泰ahpa克zvyjj新lkfdn闻xvdyr网njkx版权所有,请勿未授权转载!!!
entity f_adder2 is
虎ikd泰btqnt克c新rrv闻v网l版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎u泰u克v新khaed闻kwx网z版权所有,请勿未授权转载!!!
end entity f_adder2;
虎_泰mxpxj克wuxpv新yo闻ior网dymb版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎mm泰j_t克im新w闻dhcbm网p版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎rreo泰kkzx克kbb新uvmx闻p网l版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎ord泰mhljz克dnpoy新sbd闻nay网qzm_c版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎q泰y克of新xnd闻yxik网ydtzk版权所有,请勿未授权转载!!!
case (s) is
虎ze泰louz克r新o闻x网xq版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎g__s泰c_wk克tsrv新a闻swl网_gwlt版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎b泰sj克jeq新_tuo闻jjh网z版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎_c泰xu克h新zibwt闻tk网yw版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎q泰sb克mosoo新o闻wvxx网jgs版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎b泰pme克mqikg新v闻hahp网ko版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎h_dd泰qphy克ezl新kr闻jqw网kc版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎kpsf泰odnx克olhq新x闻x网bcf版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎cssw泰v克r_utc新_ysb闻wtfot网yvc版权所有,请勿未授权转载!!!
when others =>NULL;
虎ecem泰_z克f新mx闻vgxs网txv版权所有,请勿未授权转载!!!
end case;
虎e泰nsn克apav新ln闻smx网hji版权所有,请勿未授权转载!!!
end process;
虎e_rfy泰tkawt克mk_ja新ojtbi闻pnuz网npxo版权所有,请勿未授权转载!!!
end architecture;
虎c泰y克fyjo新ha闻bbdc网uxqx版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎oh泰nbils克tihyg新jr闻udn_网i版权所有,请勿未授权转载!!!
заявление: все рукописи и диаграммы, которые перевернулись, для того, чтобы получить больше информации и удобного изучения, не означают, что станция и организатор, организатор и спонсор согласны с их взглядами или подтверждают их подлинность, содержание статьи только для справки, если нарушение прав автора обратитесь к администратору сайта.
Список комментариев
-
Пока нет данных