虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎awqq泰_克wn新zkr闻wvh网u版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎qvah泰rvoz克gbkyn新h闻_fz网dlne版权所有,请勿未授权转载!!!

entity f_adder2 is

虎qs泰ljee克ci新xq闻h网wexwr版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎cwitd泰b克n新lqrgf闻vtxzx网vabei版权所有,请勿未授权转载!!!

end entity f_adder2;

虎bprvo泰fvyy克r新_rxjx闻hte网aixf版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎frdxn泰pxocj克e新qbi闻d网vvvxw版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎qfd泰vtxqp克uay新j_wr闻cvfra网jgu版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎usn泰tsw克ttrd新p闻sk网tre版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎nmpi泰trocr克pjzs新r闻vi网bnfd版权所有,请勿未授权转载!!!

case (s) is

虎zqaxj泰ufq_w克ytut新vzq闻mf网h_版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎dca_泰y克nl新r闻igfk网gvne版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎w泰e克njqs新y闻efvrx网sr版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎bb泰h克yi新lgbnc闻hez网a版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎zviwt泰i克fryrd新yfe闻axwrx网uci版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎cugg泰iq克u_新tnu闻ljgmf网gbhr版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎gte泰yt克axm新utn闻lp_d网fgji版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎nmm泰zqsa克qwyb新_闻gbfq网ukdai版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎z泰n_r克cd新rrcm闻_dmsj网i版权所有,请勿未授权转载!!!

when others =>NULL;

虎e泰jjg_c克xeply新yg闻f网ixul版权所有,请勿未授权转载!!!

end case;

虎wrbhq泰yoby克qt新mgij闻hppt网tvc版权所有,请勿未授权转载!!!

end process;

虎dgu泰zchz克iel新axow闻lwson网fcvh版权所有,请勿未授权转载!!!

end architecture;

虎uatn泰_c克te新y闻nz网flby版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎ep泰t克zunq新ftovt闻c网ika版权所有,请勿未授权转载!!!




заявление: все рукописи и диаграммы, которые перевернулись, для того, чтобы получить больше информации и удобного изучения, не означают, что станция и организатор, организатор и спонсор согласны с их взглядами или подтверждают их подлинность, содержание статьи только для справки, если нарушение прав автора обратитесь к администратору сайта.

Спасибо за комментарий!

Список комментариев

    Пока нет данных