VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 4298
VHDL报错std_logic type does not match integer literal
library ieee;
虎rfu泰uxfb克od新osqo闻idqi网zhj_a版权所有,请勿未授权转载!!!
use ieee.std_logic_1164.all;
虎cp泰v克samwk新wf闻sq网sr版权所有,请勿未授权转载!!!
entity f_adder2 is
虎gzzqm泰m克m_clf新sk闻ayiim网d版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎ewcr泰lrxmz克lzdu新ptz闻m网vlg版权所有,请勿未授权转载!!!
end entity f_adder2;
虎nea泰zpow克nr新yxntq闻goeuv网jzxe版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎nfm泰y克hiem新zxs_闻iqu网lo版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎hl泰dhvy克sm新ddh闻wpfw网n_版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎gpe泰wm克tuh新gzp_x闻ichu网ixh版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎s泰yp克vkz新dtgjk闻ty网w版权所有,请勿未授权转载!!!
case (s) is
虎uc泰h克d新df闻zaxeu网ccc版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎vo泰qfft克d新nji闻ov_ti网ryx版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎c泰h克_l新uew闻axje网u版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎uhhu泰qpcw克dxxxv新fgtm闻ism网i_rli版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎dng泰rc克_virl新dwom闻y网afdl版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎ynjq泰nu克aj新d闻toa网nowmn版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎qhuii泰owddd克nq_af新c闻q网akxjg版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎sr泰llxrw克_kiyz新pyuz闻rfofy网etpm_版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎uzy泰fmpoy克hlj新yr闻pub网rr版权所有,请勿未授权转载!!!
when others =>NULL;
虎aq泰qzph克chk新gd闻c_gg_网qy版权所有,请勿未授权转载!!!
end case;
虎huhx泰oki克v新bcz闻gdz_网rc版权所有,请勿未授权转载!!!
end process;
虎udjl泰oqd克nq新iiiz闻skwk网nnf版权所有,请勿未授权转载!!!
end architecture;
虎mbvq泰kfaia克gdi新g闻y网dh版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎fp泰tmiiq克dlly新r闻y网vi版权所有,请勿未授权转载!!!
заявление: все рукописи и диаграммы, которые перевернулись, для того, чтобы получить больше информации и удобного изучения, не означают, что станция и организатор, организатор и спонсор согласны с их взглядами или подтверждают их подлинность, содержание статьи только для справки, если нарушение прав автора обратитесь к администратору сайта.
Список комментариев
-
Пока нет данных