虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎rtbt泰paud克ffx新tgbfu闻iptnx网ev版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎izxsy泰mkg克_rggc新rjhd闻pn网ibarq版权所有,请勿未授权转载!!!

entity f_adder2 is

虎hpk泰tlhq克endp新b闻qi网vrz版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎gv泰izal克mblku新im闻gys网eslzr版权所有,请勿未授权转载!!!

end entity f_adder2;

虎pd泰lkh克un新dfu闻qb网pjglm版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎up泰zdpk克mznt新jje_b闻zays网puz版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎v泰_克dk新vsyo闻xb网rdkt版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎hc泰shp克fdl新vh闻gnne网vv版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎ze泰rw克bbj新whz_闻_网muhln版权所有,请勿未授权转载!!!

case (s) is

虎fkqx泰eb克jygge新ckrh闻b网o版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎npfcu泰g克ochtw新hpsh闻ixpux网jsk版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎sub泰dpd克qx新g闻g网n版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎ky_yr泰uomgr克zwuo新bk闻ien网tldn版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎l泰dpwqt克lddsd新xmwi闻wwmq网ak版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎dimu泰wqhfc克bkxg新hmta闻s网cbfrl版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎qesb泰nttn克s_vqj新ryu闻l网k版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎h泰wfldq克bmdpn新eq闻jd网jymit版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎hojj泰e克o新jnkya闻tpey网zd版权所有,请勿未授权转载!!!

when others =>NULL;

虎ninfc泰g克imgef新m闻n网yhn版权所有,请勿未授权转载!!!

end case;

虎lpo泰ctse克fbowc新odp闻zyef网e版权所有,请勿未授权转载!!!

end process;

虎wae泰j克sk新fvt闻gly网n_myc版权所有,请勿未授权转载!!!

end architecture;

虎xqb泰zq克at新h闻ekdvv网guw_版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎pc泰ass克zlb新wjmd闻pljyr网_yj版权所有,请勿未授权转载!!!




Δήλωση: όλα τα άρθρα / τα σχέδια που αναδημοσιεύονται στον δικτυακό τόπο έχουν ως σκοπό τη διαβίβαση περισσότερων πληροφοριών και τη διευκόλυνση της συζήτησης, πράγμα που δεν σημαίνει ότι ο δικτυακός τόπος, ο οικοδεσπότης και ο διοργανωτής συμφωνούν με τις απόψεις τους ή επιβεβαιώνουν τη γνησιότητα του περιεχομένου τους. Τα περιεχόμενα των άρθρων είναι μόνο για αναφορά. Εάν παραβιαστούν τα δικαιώματα του αρχικού συγγραφέα, επικοινωνήστε με τον διαχειριστή του δικτυακού τόπου.

Υπόγραψε στο σχόλιο

Λίστα σχολίων

    Δεν υπάρχουν δεδομένα