虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎iqnwn泰jeenf克xwawx新t_闻mnx网qdc版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎y泰dszgo克zuk新_swjg闻ds_网ryq版权所有,请勿未授权转载!!!

entity f_adder2 is

虎pbxl泰eogwk克_新fkvc闻teupc网dk版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎qkwda泰br克w新pqhn闻jlxe网bla版权所有,请勿未授权转载!!!

end entity f_adder2;

虎obzgq泰xtyp克_sasz新mxgu闻yd网armn版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎nfvrs泰nc克dhxly新fs闻awx网uzylj版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎aavid泰gigk克jy新_d闻or网b版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎opaso泰zvsx克zw新sk闻ndr网ji版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎buvem泰pi克f_z新c闻uaxm网yfyaa版权所有,请勿未授权转载!!!

case (s) is

虎hdoh泰slrkh克rzn新rd闻tmq网rgy版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎_ffbc泰_r克vb新x闻iuzuq网an版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎x泰d克kw新h闻_j网rii版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎d泰w克u新nk闻_xzma网mpgjm版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎zvgz泰sohu克akymp新byc闻ihgt网odqjq版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎dwcbf泰f克koj新r闻rsry网ud版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎kazrs泰uj克mhqek新obq闻vyugt网knd版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎ova_a泰xhw克fffpp新octc闻_u网n版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎r泰l克c新hwtcn闻_m网_lg版权所有,请勿未授权转载!!!

when others =>NULL;

虎vy泰hjtdl克bx新k闻px网nc版权所有,请勿未授权转载!!!

end case;

虎ooc泰yvtv克kvhcs新rekwh闻iy网hw版权所有,请勿未授权转载!!!

end process;

虎gwt泰jz克e新quja闻e网krlat版权所有,请勿未授权转载!!!

end architecture;

虎z泰mu克qsg新xukmu闻tp网qwpy版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎qzmir泰vlq克ggun新fja闻kxb网kpep版权所有,请勿未授权转载!!!




Δήλωση: όλα τα άρθρα / τα σχέδια που αναδημοσιεύονται στον δικτυακό τόπο έχουν ως σκοπό τη διαβίβαση περισσότερων πληροφοριών και τη διευκόλυνση της συζήτησης, πράγμα που δεν σημαίνει ότι ο δικτυακός τόπος, ο οικοδεσπότης και ο διοργανωτής συμφωνούν με τις απόψεις τους ή επιβεβαιώνουν τη γνησιότητα του περιεχομένου τους. Τα περιεχόμενα των άρθρων είναι μόνο για αναφορά. Εάν παραβιαστούν τα δικαιώματα του αρχικού συγγραφέα, επικοινωνήστε με τον διαχειριστή του δικτυακού τόπου.

Υπόγραψε στο σχόλιο

Λίστα σχολίων

    Δεν υπάρχουν δεδομένα