虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎ao泰wtkri克d新siw闻yg网wsi版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎ohh泰q克zaib新mdd闻woa网qco版权所有,请勿未授权转载!!!

entity f_adder2 is

虎xjho泰yonp克ovkq新lcwu_闻ker网s版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎ujqo泰_克ep新l闻wpgh网vzwy版权所有,请勿未授权转载!!!

end entity f_adder2;

虎qdgp泰zkan克ix新dgcxf闻mvmkd网vbhs_版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎mhgs_泰s克tcf新rgr闻riey网ap版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎tzhji泰rb克jo_新k闻_网dv版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎efd_d泰rqx克ood新nut闻uezi网ojwb版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎bya泰yy克r新scsy闻obwjq网pxzlt版权所有,请勿未授权转载!!!

case (s) is

虎gliq泰hrgz克qsrfl新d闻efcy网osaj版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎zhf_y泰gw克bkjw新vafys闻re网t版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎oopq_泰atmz克w新qcsh闻p网a版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎fdbob泰u克tcpw新zjgsl闻ko网vak版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎qlhp_泰zdu_克ssqj新tjdjx闻ziocl网ewin版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎botr泰pqv克b新gbonp闻cij网cy版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎b泰z克uj新bxv闻m网lgi版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎n泰wngay克k新k闻ixyr网_版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎ei泰g克br新cm闻fvcym网zrick版权所有,请勿未授权转载!!!

when others =>NULL;

虎vqif泰qvjlr克zk新uxf闻omcn网t版权所有,请勿未授权转载!!!

end case;

虎tqquc泰ji克rhdf新qo闻ebqjh网zdr版权所有,请勿未授权转载!!!

end process;

虎fh泰m克rn新lnwd闻w网yy版权所有,请勿未授权转载!!!

end architecture;

虎hthg泰uwucl克nb新m闻np网l版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎ln泰pja克_k新wbiss闻qco网zg_p版权所有,请勿未授权转载!!!




Δήλωση: όλα τα άρθρα / τα σχέδια που αναδημοσιεύονται στον δικτυακό τόπο έχουν ως σκοπό τη διαβίβαση περισσότερων πληροφοριών και τη διευκόλυνση της συζήτησης, πράγμα που δεν σημαίνει ότι ο δικτυακός τόπος, ο οικοδεσπότης και ο διοργανωτής συμφωνούν με τις απόψεις τους ή επιβεβαιώνουν τη γνησιότητα του περιεχομένου τους. Τα περιεχόμενα των άρθρων είναι μόνο για αναφορά. Εάν παραβιαστούν τα δικαιώματα του αρχικού συγγραφέα, επικοινωνήστε με τον διαχειριστή του δικτυακού τόπου.

Υπόγραψε στο σχόλιο

Λίστα σχολίων

    Δεν υπάρχουν δεδομένα