虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎w泰uqdy克wk新igdt闻nw网wwjfy版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎ssnrq泰vo_gj克ili新s闻bdng网hl版权所有,请勿未授权转载!!!

entity f_adder2 is

虎r泰anq克mlqo新e闻o网ltvk版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎qz泰hwlt克tila新zim闻k_xop网znz版权所有,请勿未授权转载!!!

end entity f_adder2;

虎nu泰z克o新_闻c网a版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎kgm泰gfyf克dho新i闻vzsrg网li版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎whm泰yqbrb克vy新bycj闻n网et版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎zfs泰rp克jzm新a闻g网sdg版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎zovvk泰k克lpfi新k闻bxg网b版权所有,请勿未授权转载!!!

case (s) is

虎ra泰ynbvt克ghhcq新nt闻_gu网h版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎rkslt泰eeh克ous新hnept闻omtnd网up_oe版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎v_泰u克woscc新fijml闻o网p版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎b泰ky克jglku新vvqrn闻j网pykc版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎dt泰v克mtocm新esbb闻akn网nb版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎g泰lsa克orvcd新a闻tnxyw网ocb版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎m泰zva克hxyil新xss闻h网xt版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎fpkgu泰ylv克mt新zl闻vce网ynii版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎ctwaq泰yz克b新pc闻jxpco网_n版权所有,请勿未授权转载!!!

when others =>NULL;

虎gpa泰n克s新zj闻bs网dl版权所有,请勿未授权转载!!!

end case;

虎uh泰rdzb克z新ef_h闻djn网l版权所有,请勿未授权转载!!!

end process;

虎ur泰c_pb克aatnt新bxp闻wnirj网_y版权所有,请勿未授权转载!!!

end architecture;

虎qomyj泰cve克iofhd新faxv闻kay网wkx版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎id泰hsxa克uwfn新owmp闻fumc网dpush版权所有,请勿未授权转载!!!




Déclaration: le fait que des articles tels que les textes et les graphiques reproduits sur le site Web ne signifie pas que la station et les organisateurs partagent leur point de vue ou confirment l 'authenticité de leur contenu ne signifie pas qu' ils ont pour objet de communiquer des informations supplémentaires et de faciliter la discussion.

Se connecter pour commenter

Liste des commentaires

    Données non disponibles