虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎sb_泰_g克elkbs新hn闻_fkj网qyuom版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎j泰jdmep克rbm新_ur闻kfo网_nmv版权所有,请勿未授权转载!!!

entity f_adder2 is

虎km泰pwe克_gvq新diz闻ne网cj版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎cm泰llvte克ozybs新uklbj闻mu_网jtir版权所有,请勿未授权转载!!!

end entity f_adder2;

虎kxfs泰rld克_新bdqtp闻gz网z版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎gfi泰viob克een新mlz_y闻vx网ovxj版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎p泰lc_x克n新ngpw闻n网sps版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎vu泰p克rw_bi新qxs_闻tbtpw网h版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎gx泰iqllp克i新hyiu闻bifd网lembr版权所有,请勿未授权转载!!!

case (s) is

虎o泰wwqey克afjh新j闻k网gf版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎tqj泰sht克go新qbfok闻bnt_网rawq版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎ah泰j克kg新sf闻vezwu网g版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎leesx泰vjesf克poxr新msdvr闻h网jhuot版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎gz泰lhurk克fqlj新osl闻_aq网lu_版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎kmuyz泰f克m新budli闻h网i版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎_p泰pgx克r新xvib闻fiem网xv版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎g泰h克il新z闻admf网lxl版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎dk泰exz克fsn新ndy闻zkk网axrye版权所有,请勿未授权转载!!!

when others =>NULL;

虎_m泰w克bg新l闻vv网n版权所有,请勿未授权转载!!!

end case;

虎_泰ut克nsat新ujhn闻_lwo网rrby版权所有,请勿未授权转载!!!

end process;

虎obkr泰nvh克aizay新rmn闻gsql网dlai版权所有,请勿未授权转载!!!

end architecture;

虎lxlfi泰_aj克ksabr新l闻x网ujdyy版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎smtrk泰bkfjj克uwpzo新atz闻wj网_c_版权所有,请勿未授权转载!!!




Déclaration: le fait que des articles tels que les textes et les graphiques reproduits sur le site Web ne signifie pas que la station et les organisateurs partagent leur point de vue ou confirment l 'authenticité de leur contenu ne signifie pas qu' ils ont pour objet de communiquer des informations supplémentaires et de faciliter la discussion.

Se connecter pour commenter

Liste des commentaires

    Données non disponibles