虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎wwer泰z克zza新ltavy闻urz网zghs版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎xxjm泰oph克v新nfp闻l网mfjg版权所有,请勿未授权转载!!!

entity f_adder2 is

虎u泰b克ftax新e_闻x网qei版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎k泰qttlp克o新v闻ov网agy版权所有,请勿未授权转载!!!

end entity f_adder2;

虎pylbl泰x克y新dx闻zqkfc网re版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎qhe泰m克im新hahq闻p网cpj版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎_mf泰an克zzyb新dsctg闻nqh网fzlzx版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎cl泰m克zg新xkl闻i网feca版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎yk泰_vd克m新ivl闻w网qijow版权所有,请勿未授权转载!!!

case (s) is

虎pljdd泰dblta克qvs新uoa闻cavos网tmm版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎c泰er克wr_jv新sxxtx闻luf网y版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎ansv泰s克_f新e闻ycm网pby版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎r泰feipl克drfvi新ip闻btz网dk版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎upux泰o克l新q_xlq闻no网zmjm版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎_nrve泰frju克til新n闻t网szxkh版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎i泰pqvld克yzsrt新lrnj闻yz网y版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎gpnee泰sd克lgis_新mzgm闻sjqeu网nys版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎ye泰jls克vto新m闻bxbw网h版权所有,请勿未授权转载!!!

when others =>NULL;

虎fb泰lng克l新vev闻radx网r版权所有,请勿未授权转载!!!

end case;

虎gyx泰mdy克ycp_新rnlez闻ws网or_版权所有,请勿未授权转载!!!

end process;

虎eqdyb泰g克yo新l闻tqwev网v版权所有,请勿未授权转载!!!

end architecture;

虎cht泰yrht克pz新nx闻lm网hgd版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎oy泰ge克_新jy闻qxlj网vmod版权所有,请勿未授权转载!!!




Déclaration: le fait que des articles tels que les textes et les graphiques reproduits sur le site Web ne signifie pas que la station et les organisateurs partagent leur point de vue ou confirment l 'authenticité de leur contenu ne signifie pas qu' ils ont pour objet de communiquer des informations supplémentaires et de faciliter la discussion.

Se connecter pour commenter

Liste des commentaires

    Données non disponibles