虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎wxzpr泰ncbdr克dzav新s闻h网o_b版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎_cb_j泰jcqzm克zca新xn闻rinkf网yaaj版权所有,请勿未授权转载!!!

entity f_adder2 is

虎jalz泰pxp克vzaxt新bhhkb闻cehug网sg版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎fgsm泰bl克pv新lh闻j网bby版权所有,请勿未授权转载!!!

end entity f_adder2;

虎gkf泰ykvxs克i新nepd闻f网o版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎sayk泰lv克tz_lp新vy闻cnv网ebeo版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎uv泰ct克_新be闻hqu_网oeq版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎hggv泰wku克vjo新ii闻jw网cswpr版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎nlci泰v克zzqqm新khxwk闻vs网ly版权所有,请勿未授权转载!!!

case (s) is

虎eoya泰t_hj克yqing新mkcdj闻o网e_vx版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎yxkh泰wa克en新gkdz闻h网wc版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎jzfa泰ltt克d新ngocl闻t网jx版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎nf泰wb克fja新c_闻lu网l版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎z_zlz泰w克sok新k_gw闻mw网f版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎mdlwx泰qevfi克pr新pko闻k网srbqh版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎iuj泰zn_c克o新moi闻rbqfg网jh版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎ycby泰e克e_新cr闻kzbe网onez版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎zur泰al克c新tbvsr闻srkt网l版权所有,请勿未授权转载!!!

when others =>NULL;

虎psr泰_cx克e新ped闻bwsa网au版权所有,请勿未授权转载!!!

end case;

虎ewmbg泰v克fzvm新yqzvj闻ilu网gd版权所有,请勿未授权转载!!!

end process;

虎zmqh_泰q克g新uoqnm闻y网x_xi版权所有,请勿未授权转载!!!

end architecture;

虎lpu泰snoqv克iipw新j闻ieks网dagi版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎l泰cvl克zcrhg新b闻vtsm网ryr版权所有,请勿未授权转载!!!




Déclaration: le fait que des articles tels que les textes et les graphiques reproduits sur le site Web ne signifie pas que la station et les organisateurs partagent leur point de vue ou confirment l 'authenticité de leur contenu ne signifie pas qu' ils ont pour objet de communiquer des informations supplémentaires et de faciliter la discussion.

Se connecter pour commenter

Liste des commentaires

    Données non disponibles