VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 3870
VHDL报错std_logic type does not match integer literal
library ieee;
虎mlzun泰uak克wrii新hdqsy闻kuche网atzvm版权所有,请勿未授权转载!!!
use ieee.std_logic_1164.all;
虎uzce泰t克scndv新cngzo闻kixdh网idh版权所有,请勿未授权转载!!!
entity f_adder2 is
虎nxw泰tnkm克nnbig新u_s闻_abnr网issj版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎_zpx泰tl克etfze新cb闻larp网_qnw版权所有,请勿未授权转载!!!
end entity f_adder2;
虎bpabq泰iynwi克iq新_rxt闻_网odrpr版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎eq泰nynx克euhn新_z闻infll网jfc版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎vxe泰vl克n新xico闻ejlcr网soav版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎ei泰oyxb克i_b新gumt闻vjd网umjig版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎vsqo泰_wm克mus新f闻wwor网ytbf版权所有,请勿未授权转载!!!
case (s) is
虎a泰pwxa克esqwh新cez闻h网w版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎e泰qdor克aaze新q闻cu网_d版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎vdrnh泰rrl克ipqu新j闻ut网wf版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎judbv泰osr克c新uub闻x_k网snh版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎gar泰jbseh克fnxc新h闻gl网bmu版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎f泰iold克ent新ip_ji闻eiude网snit版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎tfqd泰neh克hkl新lzhgq闻rn网b版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎semu泰ct克oj新lm闻ef网w_whk版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎ff泰mkxly克tfg新l闻p网v版权所有,请勿未授权转载!!!
when others =>NULL;
虎kwtei泰f_克nzpqr新jvuwg闻v_er网ebhqf版权所有,请勿未授权转载!!!
end case;
虎wyu泰aca克vubw新luo闻w网mf版权所有,请勿未授权转载!!!
end process;
虎_gcp泰ho克hf新apw闻szv网qxb版权所有,请勿未授权转载!!!
end architecture;
虎agyuc泰liel克bxb新fmjk_闻wac网psdl版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎cwr泰mbbq克u新uvyb闻eij网hpn版权所有,请勿未授权转载!!!
Bản phát biểu: tất cả các bản vẽ được in trên trang web là để truyền thêm thông tin và dễ dàng thảo luận, không có nghĩa là website, máy chủ và người cầm đầu đồng ý ý kiến của họ hoặc xác nhận tính xác thực của nội dung của họ. Nội dung các bài báo chỉ có liên quan. Nếu quyền của tác giả gốc bị vi phạm, xin liên lạc với quản trị website.
Ghi chú
-
Không có dữ liệu