VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 4300
VHDL报错std_logic type does not match integer literal
library ieee;
虎vyy泰ejmi克gk新_wb闻ednc网tmd版权所有,请勿未授权转载!!!
use ieee.std_logic_1164.all;
虎nmcw泰d克eekm新ro闻tbncq网utpkr版权所有,请勿未授权转载!!!
entity f_adder2 is
虎zj泰gqp克e新tw闻rwflo网jf_版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎on泰_uzok克go新foue闻heu网ft版权所有,请勿未授权转载!!!
end entity f_adder2;
虎jfr泰a克n新na闻bml网kim版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎fkln泰ja克zcwp新dtzfr闻ygbha网o_cw版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎gxv_n泰p克e新mgca闻maxi网yx版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎ue泰_ne克jh新_iei闻s网uvny版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎msoof泰qwrn克p新ubfn闻tuzoz网lkd版权所有,请勿未授权转载!!!
case (s) is
虎xve泰p克le_新mw闻bjrie网bvdqa版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎apde泰zl克u_k新urrv闻wpzak网j_yb版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎jii泰vfotk克_wayt新axgjw闻zdqzl网ptf版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎t泰qke克xj新phsr闻ohmu网dz_a版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎ky泰q克hlxfu新hr闻thu网b版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎uzzr泰t_克aubx新xcw闻uckzk网yc版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎snyf泰bh克ky新jhjy闻zgzz网f版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎l泰ndcxx克anyrk新b闻v网ofl_f版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎lth泰v克cyrv新duq闻u_网gohr版权所有,请勿未授权转载!!!
when others =>NULL;
虎padwr泰i克fkp新itoil闻cic网tko版权所有,请勿未授权转载!!!
end case;
虎nh泰m克qrnbs新w闻aw网ue版权所有,请勿未授权转载!!!
end process;
虎y泰y克h新hvduo闻qfb网n版权所有,请勿未授权转载!!!
end architecture;
虎o泰_克ioka新zaoga闻b网l版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎pd泰kd克xmfi新wv闻guazk网wkh版权所有,请勿未授权转载!!!
Bản phát biểu: tất cả các bản vẽ được in trên trang web là để truyền thêm thông tin và dễ dàng thảo luận, không có nghĩa là website, máy chủ và người cầm đầu đồng ý ý kiến của họ hoặc xác nhận tính xác thực của nội dung của họ. Nội dung các bài báo chỉ có liên quan. Nếu quyền của tác giả gốc bị vi phạm, xin liên lạc với quản trị website.
Ghi chú
-
Không có dữ liệu