VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 5415
VHDL报错std_logic type does not match integer literal
library ieee;
虎mkgft泰txk克ii新l_isu闻lb网jyfkb版权所有,请勿未授权转载!!!
use ieee.std_logic_1164.all;
虎wc泰a克e新cioq闻kijuw网ze版权所有,请勿未授权转载!!!
entity f_adder2 is
虎c泰xy克ii新ypp闻tnreb网cj版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎wbks泰nhaj克_w新grv闻tcqxi网e版权所有,请勿未授权转载!!!
end entity f_adder2;
虎_c泰j克n新pzj闻ygymb网iq版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎ywpm泰rqls克pdye新edzm闻tqhvi网atk版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎qb泰tr克eij新sedlo闻usz网tcnzm版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎tc泰mn_dw克qe新u闻pt网gpz版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎nj泰tor克i新uxg闻_网vokb版权所有,请勿未授权转载!!!
case (s) is
虎seb泰sny_克zzfp新vf闻f网lwfg版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎joff泰ql克wkhqb新tbfse闻ab网dof版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎okpl泰k克ljqjj新_r_ce闻bc网cmx版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎uiur泰aqbpk克fq新gtkes闻zl网oneiy版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎so泰xzw克_kg新js闻loey网rtw版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎ooq_q泰ba克zh新mxpyf闻ruig网x版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎ntgqb泰w克qy_a新wn闻bab网cmnb版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎hbuj泰lyjx克znnzn新m闻su网al_版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎dpc泰ldai克uh新j闻s网hiua版权所有,请勿未授权转载!!!
when others =>NULL;
虎fnf泰ye克sxml新rwyc闻zcjz网t版权所有,请勿未授权转载!!!
end case;
虎z泰thl克tvtu新km闻vbvje网ucsfc版权所有,请勿未授权转载!!!
end process;
虎sniy泰ql克y新nto闻cedlq网xbqq版权所有,请勿未授权转载!!!
end architecture;
虎abtlk泰b_克wm新oqq闻gz网l版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎ffl泰an克chagy新u闻w网kgnvj版权所有,请勿未授权转载!!!
Bản phát biểu: tất cả các bản vẽ được in trên trang web là để truyền thêm thông tin và dễ dàng thảo luận, không có nghĩa là website, máy chủ và người cầm đầu đồng ý ý kiến của họ hoặc xác nhận tính xác thực của nội dung của họ. Nội dung các bài báo chỉ có liên quan. Nếu quyền của tác giả gốc bị vi phạm, xin liên lạc với quản trị website.
Ghi chú
-
Không có dữ liệu