虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎wuxf泰dw克sgw新n闻xj网_nacl版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎fyn泰u克ufj新hzt闻fn网bix版权所有,请勿未授权转载!!!

entity f_adder2 is

虎wcgxz泰nz克enat新rxlr闻bj网_ckd版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎t_awt泰jtfc克lh新by_闻lmf网le_nh版权所有,请勿未授权转载!!!

end entity f_adder2;

虎tzn泰rvl克uty新w闻sspyp网vouor版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎mz泰znu克upucf新afaz闻odwc网k版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎a泰wpy_克af新w闻int网u版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎ua泰o克x新zxzai闻ahq网ebym版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎e_ucq泰eegk克u新o闻z网s版权所有,请勿未授权转载!!!

case (s) is

虎pym泰uyj克swuu新r闻atm网xoey版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎mk泰fx克nhrwb新xpgw闻xvvpo网q版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎n泰swgk克xt新lvua闻aa网g版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎l泰t_qn克xdyrx新hxhl闻ay网cav版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎vs泰eqaac克beloa新iei闻zcmj网bhvwv版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎fnc泰pyvv克gbcol新ucdyf闻q网_ctxq版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎awln泰atenx克xz_su新jmmrx闻jf网arjk版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎q泰df克mnru新opm闻gg网dxqxw版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎ykvw泰dwr克jl新lcjyg闻nfw网ftvgl版权所有,请勿未授权转载!!!

when others =>NULL;

虎s泰oku克rc新aldt闻t网mawza版权所有,请勿未授权转载!!!

end case;

虎sf泰qbtk克rrrt新tjmr闻po网t版权所有,请勿未授权转载!!!

end process;

虎ixq泰_zbuh克fnkj_新psth闻yo网nt版权所有,请勿未授权转载!!!

end architecture;

虎z泰o克kibv新axn闻voz网qqxjd版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎x泰upd克ruv新dm闻phaa网kjq版权所有,请勿未授权转载!!!




Bản phát biểu: tất cả các bản vẽ được in trên trang web là để truyền thêm thông tin và dễ dàng thảo luận, không có nghĩa là website, máy chủ và người cầm đầu đồng ý ý kiến của họ hoặc xác nhận tính xác thực của nội dung của họ. Nội dung các bài báo chỉ có liên quan. Nếu quyền của tác giả gốc bị vi phạm, xin liên lạc với quản trị website.

Ký vào để ghi chú

Ghi chú

    Không có dữ liệu