VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 3872
VHDL报错std_logic type does not match integer literal
library ieee;
虎tcuqf泰_rvh克u_新zlj闻v网egjw版权所有,请勿未授权转载!!!
use ieee.std_logic_1164.all;
虎e泰cymzz克yvy新rp闻t网r版权所有,请勿未授权转载!!!
entity f_adder2 is
虎g泰t克mif新jrm闻zzsyd网p版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎aslv泰bi克eyi新xyr闻vu网mtqc版权所有,请勿未授权转载!!!
end entity f_adder2;
虎ar_泰zpq克t新g闻ov网k版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎aubd泰lgqu克ubud新dtqo闻c网p_z版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎gjo泰a克wpuo新ue闻fatcm网on_vs版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎gufh泰c克tertw新s闻rwq网s版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎pwdpw泰nqvu克hnb_新rxg闻m网wdd版权所有,请勿未授权转载!!!
case (s) is
虎f泰cjovp克rjo新sy_闻cdb网qy版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎ly泰n克b新n闻on网pai版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎dacr泰jr克hdjvw新fs闻ahb网uytxj版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎g_g泰g克spizb新kc闻p网hmxb版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎xmdw泰un克qzpn新hxf闻dgjb网mz版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎li泰ymfq克ks新p闻ptigv网m版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎bz泰ut_zy克qcqwd新z闻jtcrw网atbr版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎q泰bqc克ui新sop闻z_n网xgwyu版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎xw泰p克_xhz新kihm闻qyowb网vza版权所有,请勿未授权转载!!!
when others =>NULL;
虎nxy泰yhuti克mtfn新ta闻fehxe网aabg_版权所有,请勿未授权转载!!!
end case;
虎sc泰tme克it新f闻nwv网utyat版权所有,请勿未授权转载!!!
end process;
虎d泰hxot克r新es_闻dsxea网opdg版权所有,请勿未授权转载!!!
end architecture;
虎q泰fet克fqjwk新z闻_o网h版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎m泰wgaj克hz新ridg闻wzk网t版权所有,请勿未授权转载!!!
Erklärung: Alle auf dieser Website wiedergegebenen Artikel /Zeichnungen dienen dem Zweck, mehr Informationen zu übermitteln und die Diskussion zu erleichtern, was nicht bedeutet, dass die Website, der Host und der Veranstalter mit ihren Meinungen einverstanden sind oder die Authentizität ihrer Inhalte bestätigen. Der Inhalt der Artikel dient nur als Referenz. Wenn die Rechte des ursprünglichen Autors verletzt werden, wenden Sie sich bitte an den Verwalter der Website.
Liste der Kommentare
-
Keine Daten