VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 4976
VHDL报错std_logic type does not match integer literal
library ieee;
虎nnsuw泰qy克s新xrswd闻v网c版权所有,请勿未授权转载!!!
use ieee.std_logic_1164.all;
虎ktvx泰ny克qjday新uv闻sisgg网dbdcp版权所有,请勿未授权转载!!!
entity f_adder2 is
虎na泰a_p克b新zxr闻d网hb版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎dcex泰jxz克iuxi新sysp闻h网pjxmk版权所有,请勿未授权转载!!!
end entity f_adder2;
虎ojnbd泰l克cgav新kme闻vy网xrshw版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎zqu泰qlrvi克v新d闻xuon网stn_版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎c泰cip克niak新zv闻otmtg网rmq版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎njr泰h克fimr新apleo闻m网zbxyv版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎ggx泰pe克q新c闻v网iv版权所有,请勿未授权转载!!!
case (s) is
虎i泰lpi克kpfn新lii闻aiz网wd版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎zeb泰uji克zaivk新dr_闻ba网qlemm版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎on泰bt克g新aafnp闻p网mf版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎lovy泰n克ueufl新dh闻gfo网s版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎kmsl泰q克rsr新mpcvb闻gsm网gl_版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎jmzru泰zd克nfjs新wzd闻y网fccr版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎r泰v_unn克xqc新vw闻ziuup网n版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎xput泰n_l克wpw新xk_hl闻sb网b版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎fojc泰jqid克ftleh新brgoh闻lo网q版权所有,请勿未授权转载!!!
when others =>NULL;
虎hwnhc泰wigy克x新t闻ob网mpqx版权所有,请勿未授权转载!!!
end case;
虎swnu泰hc克g新vmc闻s网dq版权所有,请勿未授权转载!!!
end process;
虎qv泰heho克ovte新n闻myz网e版权所有,请勿未授权转载!!!
end architecture;
虎qvibq泰l克n新bfeni闻weo网dnae版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎zr泰hqyd克eijpk新jge闻olekk网bnqvs版权所有,请勿未授权转载!!!
Erklärung: Alle auf dieser Website wiedergegebenen Artikel /Zeichnungen dienen dem Zweck, mehr Informationen zu übermitteln und die Diskussion zu erleichtern, was nicht bedeutet, dass die Website, der Host und der Veranstalter mit ihren Meinungen einverstanden sind oder die Authentizität ihrer Inhalte bestätigen. Der Inhalt der Artikel dient nur als Referenz. Wenn die Rechte des ursprünglichen Autors verletzt werden, wenden Sie sich bitte an den Verwalter der Website.
Liste der Kommentare
-
Keine Daten