虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎khv泰vy克rr新_ik闻loci网aia_v版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎qmaw泰xej克w_e新fg闻lz_b网ray版权所有,请勿未授权转载!!!

entity f_adder2 is

虎jyjp泰vdbcr克ra新dgbia闻vn网lacqy版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎et泰wnow克gpa_新sxzgl闻i网d版权所有,请勿未授权转载!!!

end entity f_adder2;

虎pmu泰wmh__克lfssn新x闻v网rrho版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎tivk泰lutqd克zia新x闻wlee网yy版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎_泰if克t新lm闻b网fj版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎vqfo泰pfbf克jg新fq闻oufd网u版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎szxx泰ray克p新c闻bfum网tvuh版权所有,请勿未授权转载!!!

case (s) is

虎_mpdk泰aplhp克eufr新h闻yfnlo网xcex版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎aqek泰g克bfaeo新ddrku闻fxl_o网if版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎oqpsl泰_zlmp克dgbx新ql闻lp网v_afy版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎ya泰enadf克lmvc新rptyu闻gfdvq网tm版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎eczr泰a克cpc新b闻mqbb网ekgs版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎_mewc泰xk克_dkay新db闻ajk网uhtzz版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎n泰vwg克z新mtz闻g网mq版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎rogn泰maatl克xi新hepza闻vfrq网s版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎bbo_泰qvs克zbx新t闻ywuk网k版权所有,请勿未授权转载!!!

when others =>NULL;

虎b泰rz克whhz新l闻r网rz版权所有,请勿未授权转载!!!

end case;

虎ddd_泰kvzt克xzy新v闻lsv网o版权所有,请勿未授权转载!!!

end process;

虎tnn泰mxi克tbjzi新of闻_ay网itj版权所有,请勿未授权转载!!!

end architecture;

虎i泰gd克g新uwefb闻midw网z版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎hkgn泰ya克snh新wn闻_ne网pxu版权所有,请勿未授权转载!!!




Declaración: los textos que se reproducen en la red, como textos / gráficos, tienen por objeto transmitir más información y facilitar las deliberaciones, y no implican que el sitio y los patrocinadores o patrocinadores compartan sus opiniones o confirmen la autenticidad de su contenido, que sólo es de carácter informativo y que si se violan los derechos de los autores originales, por favor se pongan en contacto con el Administrador del sitio web.

Gracias por tu comentario.

Lista de comentarios

    Datos no disponibles