VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 3865
VHDL报错std_logic type does not match integer literal
library ieee;
虎mb泰xpbx克udxwh新uhv闻k网yp版权所有,请勿未授权转载!!!
use ieee.std_logic_1164.all;
虎caz泰gsvsi克fc新qo闻oebp网yb版权所有,请勿未授权转载!!!
entity f_adder2 is
虎t泰n克puyd新o闻k网xq版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎anf泰a_hxd克z_新lh闻e网bnep_版权所有,请勿未授权转载!!!
end entity f_adder2;
虎xz泰xdf克_dtw新jjls闻rslr网rnai版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎er泰kk克gegb新p_u闻honi网jotbu版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎i泰hl_ag克ohbj新ee闻lu网vyik版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎h泰tje克kpw新ojyyj闻x网hna版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎sm泰fi克farwi新u闻c网gm版权所有,请勿未授权转载!!!
case (s) is
虎m泰ig克jx新byla闻gwhh网uempz版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎w_y泰wikz克yy_p新d闻xm网m版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎hh泰irkg克vyii新usz闻mkk网srluh版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎sfkc泰divfl克_zj新hi闻rt网tnsw版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎kvej泰jodpg克mj新nwiwt闻o网zcun_版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎fdidl泰om克_新bqq闻km网jh版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎d泰olgvf克ivy新mt闻ag网m版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎jmq泰ug克_pgd新kv闻l网zuho版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎jj泰s克okthi新mp闻xod_o网_版权所有,请勿未授权转载!!!
when others =>NULL;
虎nr泰r_j克dtrzh新jjb闻f网efkys版权所有,请勿未授权转载!!!
end case;
虎stm泰slp克unb_新sfa闻hs网_zoq版权所有,请勿未授权转载!!!
end process;
虎l泰gxrx克_me新dwzn_闻c网ospfr版权所有,请勿未授权转载!!!
end architecture;
虎aytx泰glduq克vx新y闻mx网_qp版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎i泰writ克by新kulyd闻dedgt网c版权所有,请勿未授权转载!!!
Declaración: los textos que se reproducen en la red, como textos / gráficos, tienen por objeto transmitir más información y facilitar las deliberaciones, y no implican que el sitio y los patrocinadores o patrocinadores compartan sus opiniones o confirmen la autenticidad de su contenido, que sólo es de carácter informativo y que si se violan los derechos de los autores originales, por favor se pongan en contacto con el Administrador del sitio web.
Lista de comentarios
-
Datos no disponibles