虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎dvfsb泰w_j克lh新orpow闻kx网gsng版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎kskfc泰mz克vj新zptbx闻ea网jsjvt版权所有,请勿未授权转载!!!

entity f_adder2 is

虎s泰w克iupl新z闻hop_网x版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎mpsc泰hbqjq克qn新_slvr闻xl网qwzi版权所有,请勿未授权转载!!!

end entity f_adder2;

虎_pct泰no克ccobr新zmugd闻o网znab版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎rm泰cs克ofp新why闻xybp网yhe版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎lzu泰veb克zm新p_z_e闻vg网g版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎svsdc泰o_克bfxnw新pa闻emdt网ypn版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎auiyv泰whvu克beedh新dfea闻lke网tjo版权所有,请勿未授权转载!!!

case (s) is

虎u泰kjb克p新q闻_k网fgk版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎r_oq泰a克mvuws新qtnek闻n网ntg版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎o泰nxo克dhx新wx闻f网kr版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎x泰n_rmk克rln新jhmx闻yiy网eyvfo版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎cht泰p_vtv克h新tgf闻yxuit网z版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎uplr泰oqkj克ayk新zbomu闻vdwa网sbgn_版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎bce泰vvx克hkgin新tjow闻ujp网n版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎w泰own克q新sdnvd闻q网f_f版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎sm_泰wnz克fubdz新b闻vd网vkdim版权所有,请勿未授权转载!!!

when others =>NULL;

虎hg泰bioyt克tue新uhfv闻elnm网ewnd版权所有,请勿未授权转载!!!

end case;

虎d泰mw_j克xufj新vhedy闻v网pf版权所有,请勿未授权转载!!!

end process;

虎bndd泰uare克s新yfdn闻wyj网s版权所有,请勿未授权转载!!!

end architecture;

虎m泰pdet克ax新wlkl_闻ibgec网buqt版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎dmx_l泰wr克mork新us闻wrdyh网p版权所有,请勿未授权转载!!!




Declaração: todos OS artigos / desenhos reproduzidos Neste site têm por objectivo TRANSMITIR Mais informações e facilitar a discussão, o que não significa que o site, o anfitrião e o organizador concordem com as SUAS opiniões ou confirmem a autenticidade do SEU conteúdo. O conteúdo DOS artigos é apenas para referência. Se OS direitos do autor original forem violados, contacte o Administrador do site.

Assine para comentar.

Lista de comentários

    Sem dados