VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 3856
VHDL报错std_logic type does not match integer literal
library ieee;
虎gcvw泰kvv_克ho_新mhajm闻dl_hv网s版权所有,请勿未授权转载!!!
use ieee.std_logic_1164.all;
虎ws泰ckyr克giyvd新fq闻kpq网eu版权所有,请勿未授权转载!!!
entity f_adder2 is
虎dpi泰ya克_act新qirn闻y网qzu版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎kvv泰vbq克pm_kh新yhg闻qe_网wdea版权所有,请勿未授权转载!!!
end entity f_adder2;
虎ud泰pcnur克mo新wimi闻ram网ffxwe版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎dai泰idzvy克nv新jf闻dfhoj网xz版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎hmjvo泰ao克ad新_闻ja网kqje版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎gvy泰zyt克k新lcij闻mxo网pc版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎p泰nznya克mf新hyze闻ml网z版权所有,请勿未授权转载!!!
case (s) is
虎dpq泰owz克cxbm新iqd闻o网jr_sa版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎bc泰mzrb克j新zolnd闻ihy网pp版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎ap泰ljgnv克nr新mxma闻ye网zimwg版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎a泰pzqb克j新yqomv闻tu网x版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎qqi泰sh克v新_f闻kio_i网tyjq版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎icai泰pgav克vry新i_gww闻xnr网efilx版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎vq泰peemn克rcuq新w闻agbst网hvko_版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎coaxw泰ymwl克a新wqx闻czo网pw版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎nef泰ogekc克vn新yu闻b网npvhu版权所有,请勿未授权转载!!!
when others =>NULL;
虎t泰eeeq克t新dj闻jiyll网rjh版权所有,请勿未授权转载!!!
end case;
虎f泰vaysu克qyyv新w闻ymsa网z版权所有,请勿未授权转载!!!
end process;
虎ybm泰h克clytj新dilal闻an_网ln_版权所有,请勿未授权转载!!!
end architecture;
虎sg泰uh克wb新uy闻tuvcp网esf版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎ln泰fa克pu_新oor闻elf网dax版权所有,请勿未授权转载!!!
Declaração: todos OS artigos / desenhos reproduzidos Neste site têm por objectivo TRANSMITIR Mais informações e facilitar a discussão, o que não significa que o site, o anfitrião e o organizador concordem com as SUAS opiniões ou confirmem a autenticidade do SEU conteúdo. O conteúdo DOS artigos é apenas para referência. Se OS direitos do autor original forem violados, contacte o Administrador do site.
Lista de comentários
-
Sem dados