虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎h_zg泰xz克ccewf新llrt闻kh网kbyx版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎eea泰uykx克yxh新uxsf闻s网_wa版权所有,请勿未授权转载!!!

entity f_adder2 is

虎e泰qpjo克e新ow闻g网ysglj版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎ql泰zcr克b新jflsx闻d网hnbh版权所有,请勿未授权转载!!!

end entity f_adder2;

虎rnwkv泰b克tpj新nyu闻_o网mnos版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎_kibk泰kdyng克yb新xprv闻wpx网cif_u版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎raaq泰_s克wbhg新lwl闻spl网d版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎qyx泰qz克kwv新d闻v网qz_版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎prg泰oklwj克ymh新sxfzs闻nua网u版权所有,请勿未授权转载!!!

case (s) is

虎vm泰xss_克nmjqd新g闻w网ye_版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎p泰gf克b新kblg闻s网emq版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎honvf泰wesvo克ukxwq新_e闻bgqbd网xkklu版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎raew泰dvi克dtm新pl闻e网ubc版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎pevs泰reynp克f新jlwie闻q_umj网zaoc版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎s泰t克vifxp新muq闻gfp网wn_版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎xuhu泰fa_克uslf新qf闻bma网j版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎y泰_fuig克xvoqv新ziaot闻evnc网ffh版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎rlea泰exi克jnjn新_g闻sl网e版权所有,请勿未授权转载!!!

when others =>NULL;

虎crq泰owdo克nbmx新yxis闻pgyt网wyw_f版权所有,请勿未授权转载!!!

end case;

虎yz泰przn克s新frh闻c网vo版权所有,请勿未授权转载!!!

end process;

虎zxy_e泰nnnss克xvqfp新ivnmz闻sotr网me版权所有,请勿未授权转载!!!

end architecture;

虎dap泰l克rvy新qyef闻xyum网rk版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎avavn泰lom克fdtqk新ei闻zvjx网klre版权所有,请勿未授权转载!!!




Declaração: todos OS artigos / desenhos reproduzidos Neste site têm por objectivo TRANSMITIR Mais informações e facilitar a discussão, o que não significa que o site, o anfitrião e o organizador concordem com as SUAS opiniões ou confirmem a autenticidade do SEU conteúdo. O conteúdo DOS artigos é apenas para referência. Se OS direitos do autor original forem violados, contacte o Administrador do site.

Assine para comentar.

Lista de comentários

    Sem dados