虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎y泰tgbmt克qk新ojyf闻eq网aom版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎f泰k_克ngy新liw闻ddy网xzg版权所有,请勿未授权转载!!!

entity f_adder2 is

虎nwj泰xs克bvm新x闻p网mapj版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎mv泰_jcqi克enuog新n闻m网dgs版权所有,请勿未授权转载!!!

end entity f_adder2;

虎gx泰e克_jbg新ychn闻m网bfohj版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎xyjc泰hnf克q新g闻u网vkzuw版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎ydqf泰in克pxy新ot闻rij网zu版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎nm泰kb_h克psizg新tgxiv闻bfko网yx版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎cmzn泰rwvry克sf新jnun闻uz网_f版权所有,请勿未授权转载!!!

case (s) is

虎bf泰gt克em新f_闻sizju网xmla版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎bgmwg泰eejqa克vcrvo新vzc闻kwzdr网bm版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎yxbm泰s克fvy新pw_闻u网dpww版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎hdqb泰tmks克o新inxne闻ufcy网nuw版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎qtvs泰snui克asfu新c闻nglxi网e版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎pba泰es克f新owqqa闻lstro网c版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎qioyb泰vop_克d_bg新l闻udv网jym版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎zm泰lkove克x新vmw闻x网_版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎bqdgk泰z克meuj新d闻wqv网okdl版权所有,请勿未授权转载!!!

when others =>NULL;

虎a_ila泰lg克hhf新kbhxn闻n网gn版权所有,请勿未授权转载!!!

end case;

虎kd泰y克ukwpm新askxa闻nwojd网tgmrm版权所有,请勿未授权转载!!!

end process;

虎n泰o克y新aszqp闻gymq_网w版权所有,请勿未授权转载!!!

end architecture;

虎k泰hrgop克btlg新g闻j网nch版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎ykha泰dpiu_克ppk新dal闻rk网dhr版权所有,请勿未授权转载!!!




Declaração: todos OS artigos / desenhos reproduzidos Neste site têm por objectivo TRANSMITIR Mais informações e facilitar a discussão, o que não significa que o site, o anfitrião e o organizador concordem com as SUAS opiniões ou confirmem a autenticidade do SEU conteúdo. O conteúdo DOS artigos é apenas para referência. Se OS direitos do autor original forem violados, contacte o Administrador do site.

Assine para comentar.

Lista de comentários

    Sem dados