虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎p泰_jrpj克sk新evku闻arpe网pq版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎cegfg泰jdiv克av新rwb_n闻fgkw网yldn版权所有,请勿未授权转载!!!

entity f_adder2 is

虎z泰pkwsk克zogcs新c闻l网g版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎lm泰efo克qokq新sdkln闻gx网vqtpv版权所有,请勿未授权转载!!!

end entity f_adder2;

虎jfxa泰wdgz克gqukd新x_iy闻pi网lgnhv版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎hmq泰_vg克j新uq闻vy网u_版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎ud泰km克c新kjs闻iegvk网x_版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎e泰yry克wgsht新x_j闻mxb网z版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎kp泰l克nzvyj新vcm闻js网oud版权所有,请勿未授权转载!!!

case (s) is

虎pcdrw泰lek克zrgm新y闻pr网zo版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎no泰pny克ru新czn闻hml网njdru版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎oy泰c克ui新btk闻u_dx网t版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎ul泰vsq克rjbhx新b闻rn_al网psvge版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎_yam泰ac克olxh新v闻_t网mx版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎fgc泰p_inj克rf新azx闻_cvuu网_dbyt版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎ecuwh泰muc克ia新e_o闻eqyh网kxmtg版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎e泰yk克ovcun新o闻b网ziqgd版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎vf泰xp克qbu新tdc闻kzc网dreu版权所有,请勿未授权转载!!!

when others =>NULL;

虎her泰bi克x新p_fx闻ddqx网ced版权所有,请勿未授权转载!!!

end case;

虎fbqa泰b克yezz新v闻ii网nj版权所有,请勿未授权转载!!!

end process;

虎kbmk泰vxq克bf新xw闻st网g版权所有,请勿未授权转载!!!

end architecture;

虎j泰nthl克bb新a闻ec网hprm版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎qajq泰sidj克hp新t闻a网bi版权所有,请勿未授权转载!!!




من أجل نقل المزيد من المعلومات و تيسير المناقشة ، لا يعني أن الموقع و منظم و المقاول يوافق على وجهة نظره أو يؤكد أصالة محتوياته ، هذه المادة هي للإشارة فقط ، إذا كان انتهاك حقوق المؤلف الأصلي ، يرجى الاتصال بمسؤول الموقع

تسجيل الدخول لتعليق

قائمة التعليقات

    بيانات مؤقتة