虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎w泰nz克q新amisq闻z网pgh版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎v泰b克y新dlu闻szb网k版权所有,请勿未授权转载!!!

entity f_adder2 is

虎yd泰e克z新plggj闻c网ji版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎m泰zg克is新zsgm闻_bo网puz版权所有,请勿未授权转载!!!

end entity f_adder2;

虎d泰yvmm克dpox新jpx闻tyt_网b版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎tp泰svhga克o新wpwr闻qyiw网fzp版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎inujn泰s克h新c闻e网u_k版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎pj_w泰ma克ut新dqj闻mwket网x版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎erk泰qqp克nnj新lscg闻vbxfi网qy版权所有,请勿未授权转载!!!

case (s) is

虎mi泰uz克j新f闻pf网qs_版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎cbpj泰xr克kuvq新zdxmp闻_vv网ccpqk版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎fp泰z克ffq新jke闻c网w版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎u泰armk克ot新t闻lcz网ekncj版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎qxlr泰qkufc克zc新_qru闻g网jtr版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎v泰siln克hgoj新c闻ok网zvn版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎hl泰tv克ahhs新itrol闻c网rc_ni版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

when "111" => so<=1;co<=1;

虎ytfuk泰is克kvahn新kbgu闻it网wkw版权所有,请勿未授权转载!!!

when others =>NULL;

虎nyt泰fru克o新zznr_闻a网cmvm版权所有,请勿未授权转载!!!

end case;

虎n泰xi克ib新ctatr闻j网lo版权所有,请勿未授权转载!!!

end process;

虎yny_泰w_x克sg新do闻je网nlqkb版权所有,请勿未授权转载!!!

end architecture;

虎gwth泰m克gae新oyckk闻mnhf网jnz版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎sxj泰gfjx克j新wpw闻rpuyr网kztp版权所有,请勿未授权转载!!!




من أجل نقل المزيد من المعلومات و تيسير المناقشة ، لا يعني أن الموقع و منظم و المقاول يوافق على وجهة نظره أو يؤكد أصالة محتوياته ، هذه المادة هي للإشارة فقط ، إذا كان انتهاك حقوق المؤلف الأصلي ، يرجى الاتصال بمسؤول الموقع

تسجيل الدخول لتعليق

قائمة التعليقات

    بيانات مؤقتة