VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 4295
VHDL报错std_logic type does not match integer literal
library ieee;
虎dlw泰l克wsznk新xcvt闻p网yx版权所有,请勿未授权转载!!!
use ieee.std_logic_1164.all;
虎q泰ppct克um新tks闻cj网pls版权所有,请勿未授权转载!!!
entity f_adder2 is
虎w泰nu克rf新qwkm闻jqsh网d版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎ko泰rqjm克ftkh新vni闻oauns网_fd版权所有,请勿未授权转载!!!
end entity f_adder2;
虎nqf泰uj克vsre新dilu闻jod网rbsx版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎s泰l克su新jnv闻eajz网zwf版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎cgtgd泰ryjds克x新q_hvy闻j网v版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎vhc泰cbp克e新jcedb闻elbnd网izzb版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎gpup泰ri_克lq新imb_闻hd网t版权所有,请勿未授权转载!!!
case (s) is
虎rwx泰f克l新ahmq闻m网nciqn版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎napci泰asu克eppyh新pys闻vocst网wnc版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎xk_go泰o克r新e闻_tqud网ayq版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎zvojn泰ub克u新kvyue闻fr网kf版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎pjr泰ffvdq克fywp新z闻eka网htik版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎x泰zlsyj克kkbrz新v闻fmzd网yoj版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎yhsg泰nk克njjo新ym闻_oei网xrmp版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎fsux泰ic克ivz新m闻eig网bxzkj版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎xqt泰t克gsc新h闻o_网i版权所有,请勿未授权转载!!!
when others =>NULL;
虎c泰ghmpc克o_iq新zz闻gp网s版权所有,请勿未授权转载!!!
end case;
虎pxwop泰i_克gpdo新nlmj闻atzx网tfzx版权所有,请勿未授权转载!!!
end process;
虎y泰txan克cpk新wf闻ahq网cmv版权所有,请勿未授权转载!!!
end architecture;
虎wu泰t克qihps新zoyv闻fokwo网xnmts版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎l泰pno克x_yl新e闻pz网andqb版权所有,请勿未授权转载!!!
من أجل نقل المزيد من المعلومات و تيسير المناقشة ، لا يعني أن الموقع و منظم و المقاول يوافق على وجهة نظره أو يؤكد أصالة محتوياته ، هذه المادة هي للإشارة فقط ، إذا كان انتهاك حقوق المؤلف الأصلي ، يرجى الاتصال بمسؤول الموقع
قائمة التعليقات
-
بيانات مؤقتة