虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎pmqru泰mnnh克hvjcf新tig_k闻rslbx网zm版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎k泰ds克q新i闻rza网ern版权所有,请勿未授权转载!!!

entity f_adder2 is

虎chfaj泰nxxk克qp新_lg闻zgqtq网bklen版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎lrz泰t克bq新ujw闻gmq网iywor版权所有,请勿未授权转载!!!

end entity f_adder2;

虎h泰wfq克zm新a闻ga网iur版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎c泰n克ja新nooso闻wmnj网x_ae版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎tzjin泰ufpkd克_medo新ebiby闻f_ht网pzpai版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎x泰shh克xodcg新cex闻aoz网wx_ls版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎jh泰ucjuy克syz新mz闻ovgk网m版权所有,请勿未授权转载!!!

case (s) is

虎acyji泰a克gfsu新hxl闻uz网mpi版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎cnvwr泰ipw克qtfk新umd闻j网oeqig版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎ju泰e克ppvrh新y闻ksnpk网xzuk版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎yq泰kct_克koa新q闻rc网dxoc版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎adt泰iid克x新cv闻pm网fa版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎gzg泰j克m新odtax闻xvoto网e版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎ra泰z克kujx新vkz闻nqsa网ryfwx版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎s_泰qoh克qzs新qzk闻bdi网eqtfk版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎vc泰i克fa新ae闻ib网fu版权所有,请勿未授权转载!!!

when others =>NULL;

虎wqey泰h_d克znc新fky闻h__网naonr版权所有,请勿未授权转载!!!

end case;

虎bc泰rrs克uvw新h_dc_闻n网dupcf版权所有,请勿未授权转载!!!

end process;

虎b泰kre克_db新jvxhg闻qjs网pvbk版权所有,请勿未授权转载!!!

end architecture;

虎h泰g克cynm新yqm闻ce网d版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎hquvy泰vptst克dwi新lgzdr闻ccm网lqqd版权所有,请勿未授权转载!!!




Lausunto: kaikki tällä sivustolla olevat artikkelit / piirrokset on tarkoitus välittää enemmän tietoa ja helpottaa keskustelua, mikä ei tarkoita sitä, että sivusto, isäntä ja järjestäjä ovat samaa mieltä heidän mielipiteistään tai vahvistaa niiden sisällön aitoutta. Artikkelien sisältö on tarkoitettu ainoastaan viitteeksi. Jos alkuperäisen tekijän oikeuksia rikotaan, ota yhteyttä verkkosivuston ylläpitäjään.

Allekirjoitus ja kommentti

Kommenttien luettelo

    Ei tietoja