虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎q泰plf克uy新e闻o网lyzsi版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎anu泰jgj克gv新n闻po网rqe版权所有,请勿未授权转载!!!

entity f_adder2 is

虎prmc_泰prp克bab新knhby闻kfy网x版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎i泰jtbj克x_ph新m闻yx网pl版权所有,请勿未授权转载!!!

end entity f_adder2;

虎w泰byj克h新fx闻q网fqfk版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎jmyte泰opovg克xfiq新_闻vej网nleye版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎t泰k克_tesr新aujk闻w网n版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎ac泰mmn克rep新hmm闻reyil网ti版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎v泰ajkc克x新iiid闻vg网yjw版权所有,请勿未授权转载!!!

case (s) is

虎xyji_泰i克kd新us闻y网nnx版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎iegzo泰tv克oncrb新xdq闻uhssw网vssub版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎p泰dagpf克bzdaf新xlhuq闻nao网j版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎n泰ege克fk_新fg闻vk网qhd版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎hcbl泰j克_iz新vb闻qb网ioged版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎we泰hmfu克dsjz新kvonu闻up网bt版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎hsaov泰zqm克jqo新skknl闻jj网b版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎g泰xn克ip新kgcf闻fw网z版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎z泰zb克te新lgw闻mhkfy网bayrb版权所有,请勿未授权转载!!!

when others =>NULL;

虎qvhwn泰feom克myou新kp闻vyc网rr版权所有,请勿未授权转载!!!

end case;

虎pi泰ke克lp新z闻jf网bie版权所有,请勿未授权转载!!!

end process;

虎rif泰g克y新fnleb闻v网lcc版权所有,请勿未授权转载!!!

end architecture;

虎s泰zmold克au新x闻jk网ndh版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎s泰s克vxc新ljo闻usak网q版权所有,请勿未授权转载!!!




Lausunto: kaikki tällä sivustolla olevat artikkelit / piirrokset on tarkoitus välittää enemmän tietoa ja helpottaa keskustelua, mikä ei tarkoita sitä, että sivusto, isäntä ja järjestäjä ovat samaa mieltä heidän mielipiteistään tai vahvistaa niiden sisällön aitoutta. Artikkelien sisältö on tarkoitettu ainoastaan viitteeksi. Jos alkuperäisen tekijän oikeuksia rikotaan, ota yhteyttä verkkosivuston ylläpitäjään.

Allekirjoitus ja kommentti

Kommenttien luettelo

    Ei tietoja