虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎cb泰cgkf克zs新xvben闻jwlix网jvnvo版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎qribm泰jk克hc新jiukc闻otc网yd版权所有,请勿未授权转载!!!

entity f_adder2 is

虎hdg泰unr克loyf新yrze闻jl网e版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎_泰pv克ode新msxyu闻mnsxd网em_o版权所有,请勿未授权转载!!!

end entity f_adder2;

虎vb泰g克tr新aqi闻oo网jugn版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎d泰ec克eg新_yt闻qsc网n版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎v_qg泰myma克f新qthtl闻pmpom网rumui版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎oc泰hem克k新i闻otsox网beq版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎mu泰qui克l_p新fgfzs闻uzwrm网kffvb版权所有,请勿未授权转载!!!

case (s) is

虎d泰ysdy克mml新h闻z网t版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎zomgs泰jfw_q克otfqh新ur闻ufhab网c版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎cd泰pn克nelf新op闻lnl网wxqf版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎a泰zkfjy克k新eocra闻qv网wzh版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎ge泰d克utuuh新n闻smx网wjr版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎xlm泰dt克hy新rq_at闻wkle网vtd版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎rlb泰co克dvqmt新n闻zygc网la版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎js泰h克leoxy新y闻rkvl网dasay版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎omyot泰ketjj克sucw新h闻jwa网r版权所有,请勿未授权转载!!!

when others =>NULL;

虎i泰nshfg克efauu新ijt闻ducnj网p_qb版权所有,请勿未授权转载!!!

end case;

虎kpkm泰kilg克o_b新a闻ajs网bu版权所有,请勿未授权转载!!!

end process;

虎ueu_y泰fht克qqa新qkbbh闻myhj网onoz版权所有,请勿未授权转载!!!

end architecture;

虎wvpme泰kqntm克cp新ulize闻qh网gbakk版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎kdy泰off克wzyjs新lda闻prjf网yzh_m版权所有,请勿未授权转载!!!




Lausunto: kaikki tällä sivustolla olevat artikkelit / piirrokset on tarkoitus välittää enemmän tietoa ja helpottaa keskustelua, mikä ei tarkoita sitä, että sivusto, isäntä ja järjestäjä ovat samaa mieltä heidän mielipiteistään tai vahvistaa niiden sisällön aitoutta. Artikkelien sisältö on tarkoitettu ainoastaan viitteeksi. Jos alkuperäisen tekijän oikeuksia rikotaan, ota yhteyttä verkkosivuston ylläpitäjään.

Allekirjoitus ja kommentti

Kommenttien luettelo

    Ei tietoja