VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 4307
VHDL报错std_logic type does not match integer literal
library ieee;
use ieee.std_logic_1164.all;
虎lpv泰l克oady新zux闻bms网onra版权所有,请勿未授权转载!!!
entity f_adder2 is
虎gk泰_o克pxx新bf闻wsvgw网u版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎agph泰ajm克jxww新s闻vj网q版权所有,请勿未授权转载!!!
end entity f_adder2;
虎oh泰bti克j新aop闻qijbx网iqwj版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎r泰yzs克hpb新res闻zes网m版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎g泰znzel克p新yeg闻zxr网wa版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎fjjvy泰mi克otbsg新ngoxe闻nii网_b版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎a泰y克q新bmgcb闻lh网mc版权所有,请勿未授权转载!!!
case (s) is
虎z泰k克wq_新i闻ugv网a版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎busxj泰f克f新kxwo闻sbr网mhoz版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎k泰vdzu克ujv新rvf_o闻cximz网qmkb版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎ri泰ja克oyl新e闻cl网suf版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎eb_泰xjllw克yy新jjay闻cpkxd网l版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎l泰qz克ut新hdio闻hnlm网txqtj版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎ttzpf泰xic克svqg新a闻xtjdb网myl_版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎d泰lih克ululg新o闻oki网dsq版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎usa泰kfyk克ja_wf新mjzjr闻au网ju版权所有,请勿未授权转载!!!
when others =>NULL;
虎cneji泰uhjg克_ew新fp闻jzr网gnlg版权所有,请勿未授权转载!!!
end case;
虎u_j泰xfzmp克w新f闻_ekoi网wws版权所有,请勿未授权转载!!!
end process;
虎pevx泰uc_mq克tmvgi新rns闻ipo网ea版权所有,请勿未授权转载!!!
end architecture;
虎ymn泰f克hvd新vcmb闻vxapt网_版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎tl泰oca克wcysz新um闻vv网mswr版权所有,请勿未授权转载!!!
Oświadczenie: wszystkie artykuły / rysunki zamieszczone na tej stronie mają na celu przekazywanie więcej informacji i ułatwienie dyskusji, co nie oznacza, że strona internetowa, gospodarz i organizator zgadzają się z ich opiniami lub potwierdzają autentyczność ich treści. Zawartość tych artykułów jest tylko do odwołania. W przypadku naruszenia praw pierwotnego autora prosimy o kontakt z administratorem strony internetowej.
Lista komentarzy
-
Brak danych