VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 5414
VHDL报错std_logic type does not match integer literal
library ieee;
虎qlnxu泰grrau克pejfz新efrs闻b_网wxn_版权所有,请勿未授权转载!!!
use ieee.std_logic_1164.all;
虎c泰os克pjm新ec闻rio网n版权所有,请勿未授权转载!!!
entity f_adder2 is
虎zys泰e_qbs克rzg新frgk闻k网hycm版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎aly泰s克p新fy闻_smuv网wcl版权所有,请勿未授权转载!!!
end entity f_adder2;
虎su泰ndov克wlal新osz闻kb_xv网ryo版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎ijjkg泰sgrh克_新yza闻bo网o版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎ri泰zlroq克m新ivg闻dizjh网hf版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎xh泰cm克b新zt闻dp网hn版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎ujurd泰nvbgq克hxoxl新crul闻du网ebv版权所有,请勿未授权转载!!!
case (s) is
虎fa泰bmtv克uau新lzym闻cmuhq网c版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎qmnd泰i_pw克ecs新wmqo闻zyp_网n版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎czpyg泰vlao克vybsl新nocx闻vpd网aj版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎xa泰bx克eax新srsc闻f网uk版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎um泰aqji克ow新bxp闻_x网tkvn_版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎xo泰xj克r新xruxx闻f网efppm版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎yx泰hgox克iy新rok闻dhjvk网sy版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎q泰g克pcrqe新gtlmf闻tc网qpoqf版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎n泰pzrh克sl_新km闻hfzm网gqxk版权所有,请勿未授权转载!!!
when others =>NULL;
虎lr泰x克szrc新er闻vscg网ji版权所有,请勿未授权转载!!!
end case;
虎u泰wu克n新fg闻pz网sab版权所有,请勿未授权转载!!!
end process;
虎m泰ewisb克eidd新vmzu闻_mrt网qrpi版权所有,请勿未授权转载!!!
end architecture;
虎gyfbl泰cdc_i克oos新e闻xx网ynhv_版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎looo泰jz克dbhy新q闻jmt网uc版权所有,请勿未授权转载!!!
Verklaring: alle artikelen /tekeningen die op deze website worden weergegeven, zijn bedoeld om meer informatie te verzenden en de discussie te vergemakkelijken, wat niet betekent dat de website, de host en de organisator het eens zijn met hun meningen of de authenticiteit van hun inhoud bevestigen. De inhoud van de artikelen is alleen voor referentie. Indien de rechten van de oorspronkelijke auteur worden geschonden, neem dan contact op met de beheerder van de website.
Lijst van opmerkingen
-
Geen gegevens