虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎zgu泰k克xssol新kzaa闻v网yzt版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎_drjq泰zzlgo克nwhg新czcu闻f网q版权所有,请勿未授权转载!!!

entity f_adder2 is

虎ibncf泰gj克tnw_新iyrr闻lnas网jjaqe版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎ax泰oqagk克uuz新fagq闻_gyez网eq版权所有,请勿未授权转载!!!

end entity f_adder2;

虎rhru泰af克_lmle新xe闻qn网jnr版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎ci泰h克r新yd闻vxr网jwnyx版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎atyw泰ukkl克l_zfl新_fvm闻vxba网_版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎f泰wt克_luzf新pgykv闻lws网t版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎tgdxs泰q_wlc克rehgs新_k闻r网ford版权所有,请勿未授权转载!!!

case (s) is

虎jqi泰f克pvcj新wqrk闻t网lcp版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎maqn泰buicc克qhcd新qrzb闻mur网ljcf版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎ne泰ols克f新iw闻comub网b版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎ycgl泰n克do新vg闻abesy网wjau版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎y泰brgl克rybio新srwp闻qvean网ft版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎idwzg泰zeq克o_新njw闻gqwme网_cejj版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎xcniw泰jlas克ot_v新garfh闻p网qmc版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎d泰d克wgoiz新tzrem闻n网hcmm版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎mtz泰r克m新efvvk闻ksgn网cwj版权所有,请勿未授权转载!!!

when others =>NULL;

虎hd泰lrwp克xp新zh闻_qpn网cvb版权所有,请勿未授权转载!!!

end case;

虎z泰nwc克svxuk新py闻tas网e版权所有,请勿未授权转载!!!

end process;

虎pfamm泰nnr克n新eb闻pwcqi网fh版权所有,请勿未授权转载!!!

end architecture;

虎uoyc泰lj克k新cx闻zjgqb网_版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎fdc泰dqsh克ejdq新qexbv闻flkkh网bbyfr版权所有,请勿未授权转载!!!




Verklaring: alle artikelen /tekeningen die op deze website worden weergegeven, zijn bedoeld om meer informatie te verzenden en de discussie te vergemakkelijken, wat niet betekent dat de website, de host en de organisator het eens zijn met hun meningen of de authenticiteit van hun inhoud bevestigen. De inhoud van de artikelen is alleen voor referentie. Indien de rechten van de oorspronkelijke auteur worden geschonden, neem dan contact op met de beheerder van de website.

Schrijf in om commentaar te geven

Lijst van opmerkingen

    Geen gegevens