虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎zvf泰thoeq克q新r_gif闻cw网vpx版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎nghu泰su克yo新n闻da网vmvqb版权所有,请勿未授权转载!!!

entity f_adder2 is

虎p泰ls克x新vqpd闻t_网wp版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎og泰lnjlk克bxfk新hb闻br网fa版权所有,请勿未授权转载!!!

end entity f_adder2;

虎bsq泰_nkpn克teb新s闻rhcfy网uf版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎oseh泰miyv克pyeb新mwcn闻_cl网pyn版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎a泰msk克izlfp新odsdn闻enkpv网amgvx版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎c泰hae克_rrc新qek闻e_cl网upb版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎nmb泰qve克biwwn新olqo闻yo网jfzdr版权所有,请勿未授权转载!!!

case (s) is

虎pcfjk泰daafv克kexat新ihqrm闻zvxu网ie版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎bzyqa泰s克zn新ih闻mohvt网_dtp版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎sygi泰mt克ec新r闻zjem网li版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎la泰vao克x_k新bqh闻jc网vumif版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎njle泰ulaeu克kcuk新emrh闻_i网dr版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎cazbq泰e克s新mijep闻oqnu网wpwu版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎crha泰pj克l新at_闻szgr网csy版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎sv泰c克up新dsh闻oxv网osct版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎ox泰q克mcl新xotex闻efrmf网jui版权所有,请勿未授权转载!!!

when others =>NULL;

虎gjoy泰sewdm克ql新lrcnm闻sq网ji版权所有,请勿未授权转载!!!

end case;

虎ij_泰aqed克si新cg闻aes网c版权所有,请勿未授权转载!!!

end process;

虎f泰f克dmoap新cq闻jcn网b版权所有,请勿未授权转载!!!

end architecture;

虎sdhh泰pukf克hi新h闻hj网cf版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎vcmby泰g克j新goase闻xx网msa版权所有,请勿未授权转载!!!




Verklaring: alle artikelen /tekeningen die op deze website worden weergegeven, zijn bedoeld om meer informatie te verzenden en de discussie te vergemakkelijken, wat niet betekent dat de website, de host en de organisator het eens zijn met hun meningen of de authenticiteit van hun inhoud bevestigen. De inhoud van de artikelen is alleen voor referentie. Indien de rechten van de oorspronkelijke auteur worden geschonden, neem dan contact op met de beheerder van de website.

Schrijf in om commentaar te geven

Lijst van opmerkingen

    Geen gegevens