虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎d泰ejo克l新h_wf闻zds网a_k版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎pfn泰stnhs克wq新bbgw闻akg网lvvht版权所有,请勿未授权转载!!!

entity f_adder2 is

虎cdiuu泰jzhcc克grsxp新enymw闻lk网c版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎zl泰olkgd克dvdz新gkx闻uia网cx版权所有,请勿未授权转载!!!

end entity f_adder2;

虎ur泰cmzs_克jkd新n闻on网wrq_版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎qauim泰tnvkn克j新ml_闻lau网diaj_版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎vbcho泰nvmzx克llvg新fw闻kcd网nbhb版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎j_yg泰xl克nrcf新a闻xbijk网lfno_版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎me泰cc克oft新tz_ur闻qi网vvama版权所有,请勿未授权转载!!!

case (s) is

虎gah_泰rw克ei新f闻qfyxq网rfwzs版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎yjt泰ioudy克ngyn新z闻c_hnp网rei版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎zrls泰ugw_克zsqt新or闻ivhdr网y版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎e泰gvjy_克hv新n闻heou网sw版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎k__h泰kz克iytk新o闻mvw网qhhl版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎hk泰zo克q新dttz闻fjcyi网ubs版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎hb泰p克cmq新mvys闻cszxi网y版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎cn泰zuwq克a新lzo闻ef_lx网oc版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎npo泰ll_nf克rrj新_闻okwlg网aqwy版权所有,请勿未授权转载!!!

when others =>NULL;

虎dw泰fmj克_新rmg闻nnnyz网_c_lc版权所有,请勿未授权转载!!!

end case;

虎lziey泰ex克yna新ityl闻k网wfyn版权所有,请勿未授权转载!!!

end process;

虎f_y泰dv克v新oy闻zct网ovg版权所有,请勿未授权转载!!!

end architecture;

虎_pt泰nx克ra新bml闻rr网lfd版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎keeo泰nkk克sorl新mngi_闻_k网_jw版权所有,请勿未授权转载!!!




ถ้าคุณมีข้อมูลอื่นๆใดๆเกี่ยวกับเรื่องนี้กรุณาเพิ่มฟรี

เข้าสู่ระบบเพื่อแสดงความคิดเห็น

แสดงความคิดเห็น

    ไม่มีข้อมูล