虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎c泰i克_alk新fbtfh闻gz网pfad版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎bwvx泰ux克_dpa新gs闻vadqb网b版权所有,请勿未授权转载!!!

entity f_adder2 is

虎i泰fjv_克t新potpi闻r_网a版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎_vcp泰qcy克cceak新uo_zr闻mjc网m版权所有,请勿未授权转载!!!

end entity f_adder2;

虎tqi_t泰axoh克rxubu新_n闻qx网ad版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎jp_se泰ort克mygdk新sze闻alo网dwn版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎iaue泰ptj克dwyn新ulnce闻o网rwr版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎vjoro泰d克nlfkp新sdqlh闻kob网_fnb版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎bxipz泰dtix克g新bdynh闻yjg_网rw版权所有,请勿未授权转载!!!

case (s) is

虎umf泰wcms克qes新bd闻kqkm网vy版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎wj泰frs克jm新vkghg闻wo网mzyb版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎su泰s克_新piza闻dq网tuaj版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎dd泰ql克nyc_新brtw闻oq网u版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎y泰ffw克m新whq闻hzj网r版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎mjm泰j_jmj克cmy新bbxu闻phiol网ap_版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎r泰whiiq克zj新pzftk闻eo网s_uc版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎f泰dyzp克co新zl闻j网sjz版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎gjsbo泰zq克s_awl新da闻a网aztt版权所有,请勿未授权转载!!!

when others =>NULL;

虎i泰_kay克vc新zs闻ej网kkudy版权所有,请勿未授权转载!!!

end case;

虎yb泰p克a新y闻vp网rtmtg版权所有,请勿未授权转载!!!

end process;

虎gzp泰p克i新lrzca闻ad网mzdv版权所有,请勿未授权转载!!!

end architecture;

虎agwd泰fmc_x克uexp新tqx闻nhlqp网zldn版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎su泰a克dc新p闻l网qtr版权所有,请勿未授权转载!!!




聲明:凡本網轉載的文/圖等稿件,均出於傳遞更多資訊及方便探討之目的,並不意味著本站及主辦、承辦單位贊同其觀點或證實其內容的真實性,文章內容僅供參考,如果侵犯了原作者的權利請與網站管理員聯系。

登入以發表評論

評論清單

    暫無數據