VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 3863
VHDL报错std_logic type does not match integer literal
library ieee;
虎vzp泰ysh克s新drc闻zcmmk网jb版权所有,请勿未授权转载!!!
use ieee.std_logic_1164.all;
虎dm泰xuoo克p_kyp新vrzr闻pv网zq版权所有,请勿未授权转载!!!
entity f_adder2 is
虎lsb泰fra克uoeew新etrn闻kmq网axaj版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎pi_zn泰e克uiia新kbt闻hougw网bu版权所有,请勿未授权转载!!!
end entity f_adder2;
虎nroda泰on克oimeb新fz闻_stro网rkxpg版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎zsey泰j克vzax新dy闻twpn网bfw版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎ps_j泰vbx克nwe新moumh闻uopc网pop版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎xphr泰fn克rqia新not闻vt网uo_版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎srlg泰vkk克x新wf闻uuzy网t版权所有,请勿未授权转载!!!
case (s) is
虎m泰bgxdg克abno新lzgqj闻h_网o版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎my泰dlfio克ev_u新jnq闻uk网e版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎f泰cll克s新chv闻wsd_网ow版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎i泰utrhc克tn新nue闻op_q网o版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎rf泰mkcvu克z新gehei闻t网pbgjr版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎_n泰u克z_新a闻dhhvl网ma版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎_hqn泰myfo_克vat新v闻vb网oudot版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎llsq泰vkmz克yi新s闻i网pe版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎hcv泰vpr克kbd新kwjf闻nv网hpkqs版权所有,请勿未授权转载!!!
when others =>NULL;
虎zxcsc泰g克xbxc新c闻lxfdf网rfwd版权所有,请勿未授权转载!!!
end case;
虎skwze泰iuslz克blvdf新i闻l网iaj版权所有,请勿未授权转载!!!
end process;
虎rng泰jbqy克n新lrzha闻vl网l版权所有,请勿未授权转载!!!
end architecture;
虎mxkgh泰pnu克kqfo新p闻dkj网q版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎otewc泰u克_新xnfzo闻kddd_网qd版权所有,请勿未授权转载!!!
聲明:凡本網轉載的文/圖等稿件,均出於傳遞更多資訊及方便探討之目的,並不意味著本站及主辦、承辦單位贊同其觀點或證實其內容的真實性,文章內容僅供參考,如果侵犯了原作者的權利請與網站管理員聯系。
評論清單
-
暫無數據