虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎penf泰wqkvq克b新tf闻f网gtc版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎a泰eip克b新b闻fudd网wca版权所有,请勿未授权转载!!!

entity f_adder2 is

虎mztxx泰jtv克n新didt闻amdwq网yprv版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎qvp泰c克qwj新s闻aswa网sai版权所有,请勿未授权转载!!!

end entity f_adder2;

虎plur泰q克a新rtw闻rfzgr网fj版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎jx泰xy克hnrbw新sgu闻fc网je版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎d泰bhp克ie_n新hijn闻vw网s版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎ygz泰aizbb克kc新skku闻nov网pi版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎sfs泰k克ny新dty闻bhjq网qbxfa版权所有,请勿未授权转载!!!

case (s) is

虎pkhhc泰na__r克cn新apt闻zb网i版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎eheb泰fa克coel新x闻sewo网p版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎t泰je克zl_l新ikjqs闻tej网mom版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎lil泰uuys克qw新_闻_ojb网u版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎qqxmk泰w克jw新vx闻ls网cwgn版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎wzrt泰ipsz克yvqo新em闻qysm网uxmq版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎unu泰e克_yjrb新gfqb闻qwgqx网zxzas版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎ujns泰pztx克uq新oz_mp闻z网gqcj版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎oruso泰y克v新tw闻cbysc网t版权所有,请勿未授权转载!!!

when others =>NULL;

虎mff泰tk克edu新dq闻i网q版权所有,请勿未授权转载!!!

end case;

虎puu泰yhz克d新ui闻nknc网hzqk版权所有,请勿未授权转载!!!

end process;

虎tf泰iv_q克eg新qhzf闻uhwev网ls版权所有,请勿未授权转载!!!

end architecture;

虎rmlb泰gp克vc新k闻oet网svhw版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎pcr泰_eu_克nvpec新u闻m网j版权所有,请勿未授权转载!!!




声明:本ウェブサイトで転載された文章/図などの原稿は、より多くの情報を伝達し、検討する目的で、当駅と主催、運営者がその観点に賛同したり、内容の真実性を確認したりすることを意味していません。文章の内容はあくまで参考にしてください。もし原作者の権利を侵害したら、ウェブサイトの管理者に連絡してください。

ログインしてコメントを発表します。

コメントリスト

    データがありません