虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎rdloc泰i克zw新_r闻ccgzw网y版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎ig_vo泰lorn克dyv新vnssr闻ayr网xb版权所有,请勿未授权转载!!!

entity f_adder2 is

虎tz泰q克zv_新bdvzl闻mdpxr网_版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎bncr泰hahql克b新w_d闻jhplj网xga版权所有,请勿未授权转载!!!

end entity f_adder2;

虎hsm泰pcry克qtb新_afwa闻uy网hw版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎lh泰_e克jdyeb新_dr闻ekamy网cyizn版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎tiku泰rkcq克gp新lsvoa闻opkoj网eyqer版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎wl泰n克oejx新v闻xc网wsso版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎k泰zo克k新kyd_d闻v网i版权所有,请勿未授权转载!!!

case (s) is

虎_泰l克g新hztti闻djz网ghlag版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎bpbyj泰pwaq克ylbs_新oscfh闻arwda网k版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎_whch泰oz克odmgq新tngl闻g网lg版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎sax泰mp_克p新cvx闻vcpng网i版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎agyg泰rsss克consd新nd闻mbsus网eoqp版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎ak泰exs克jjc新j闻li网vlzh版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎lh_vd泰mbm克umula新txbmc闻uyhq网h版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎hawi泰tba克n_o新g_jyw闻vgeba网nzjgs版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎mb泰sxpah克fbxwb新toqr闻llsk网o版权所有,请勿未授权转载!!!

when others =>NULL;

虎isn泰whhup克j新v闻f网u版权所有,请勿未授权转载!!!

end case;

虎b_泰i克kc_j新mpf闻jdzcs网et版权所有,请勿未授权转载!!!

end process;

虎cqtf泰m克vkcg新gjicr闻f网hhylk版权所有,请勿未授权转载!!!

end architecture;

虎l泰vxktr克su新kqc闻_t网dmw版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎zkbeu泰j_克lyzh新ni闻xwn网ceeny版权所有,请勿未授权转载!!!




声明:本ウェブサイトで転載された文章/図などの原稿は、より多くの情報を伝達し、検討する目的で、当駅と主催、運営者がその観点に賛同したり、内容の真実性を確認したりすることを意味していません。文章の内容はあくまで参考にしてください。もし原作者の権利を侵害したら、ウェブサイトの管理者に連絡してください。

ログインしてコメントを発表します。

コメントリスト

    データがありません