VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 5737
VHDL报错std_logic type does not match integer literal
library ieee;
虎x泰vwlog克lwjm新_闻vpj网omey版权所有,请勿未授权转载!!!
use ieee.std_logic_1164.all;
虎wspq泰lj克pxns新apsm闻ngbee网b版权所有,请勿未授权转载!!!
entity f_adder2 is
虎qxird泰rd克vhjx新gpbg闻xpcim网dogl版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎pi泰uc克zuzn新y闻va网s版权所有,请勿未授权转载!!!
end entity f_adder2;
虎sbq泰v克bp新uqmea闻lp网haapj版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎gu泰p_rf克fl新fk闻c网s版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎bcovm泰k克hi新vjc_闻y_dd网sc版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎k泰hxlx克a新bt闻vm网rv版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎l_uex泰mhhi克suzim新und闻zpx网kccf版权所有,请勿未授权转载!!!
case (s) is
虎r泰h克ryw新l_j闻dh网mc_s版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎oley泰dbaq克fg新qb闻tuj网pam_版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎i泰h克keakn新tn_jl闻cxd网l版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎lhe_泰yjwm克_新sc闻bifgs网qqyt版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎z泰uoys克c新gjzm闻dnw网bikxg版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎x_泰s克uec新zorxx闻wnmc网j_m版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎q泰nxatx克azu新qjs闻ho网nztch版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎yv泰siu克n新yalyy闻bjte网fe版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎i_to泰s克gbwts新tgd闻ee网q版权所有,请勿未授权转载!!!
when others =>NULL;
虎ezjk泰d克xke_新jx闻u网j版权所有,请勿未授权转载!!!
end case;
虎ndym泰o克_s新smt闻f网qszjj版权所有,请勿未授权转载!!!
end process;
虎bh_w泰ba克s新jl闻xkhqe网mwkj版权所有,请勿未授权转载!!!
end architecture;
虎bxns泰qob克zms新k_g闻sych_网bzl版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎bwy泰vghd克ugax新zgg闻kz网mn版权所有,请勿未授权转载!!!
성명: 본 사이트 에 옮 겨 실 린 문서 / 그림 등 원 고 는 모두 더 많은 정 보 를 전달 하고 토론 하 는 목적 에서 본 사이트 와 주최, 담당 부서 가 자신의 의견 을 찬성 하거나 그 내용 의 진실성 을 증명 하 는 것 을 의미 하지 않 는 다. 글 의 내용 은 참고 로 제공 하고 원작 자의 권 리 를 침해 하면 사이트 관리자 에 게 연락 하 십시오.
평론 목록
-
일시 데이터 없음