虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎cgg泰lila克eww新u闻apwn网f版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎zmb泰lutfv克dudd新e闻by网p版权所有,请勿未授权转载!!!

entity f_adder2 is

虎u泰a_rt克c新hc闻p网kpgwy版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎nt泰yolmk克vtm新vvif闻j_brg网rj版权所有,请勿未授权转载!!!

end entity f_adder2;

虎zuw泰zbomp克fa新hx闻nort网vqod版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎b泰bltnp克ka新may闻qcgk网n版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎ossu泰oz克qo新ppyu闻f网m版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎uk泰pr克ibnjr新j闻ea_网dlgga版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎uqr泰kwsh克qyxb新mvt闻f网fa版权所有,请勿未授权转载!!!

case (s) is

虎ukf泰f克qldwd新z_t闻fja网udwwz版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎gt泰nkd克tpzxi新f闻dvlb网y版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎fd泰yz_rj克ofca新zbvmm闻fkmkh网yqvb版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎ov泰c克pvek新uxofd闻t_网lhly版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎be泰szylk克krwg新ypje闻xx网dmq版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎f_imx泰wx克gy新sg闻qog网jqv版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎ppl泰higz克cyv新a闻_fbl网fzj版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎nzmah泰hjth克y新n闻zg网kb版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎ilsj泰ll克zuwtj新ru闻dstvj网ponsu版权所有,请勿未授权转载!!!

when others =>NULL;

虎m泰r克ljn新lse闻o网uplhc版权所有,请勿未授权转载!!!

end case;

虎_k泰t克b新mah闻ockbq网jkdl版权所有,请勿未授权转载!!!

end process;

虎tnxh泰uphf克u_新bc闻_cu网il版权所有,请勿未授权转载!!!

end architecture;

虎bvqon泰p克bmmc新pw闻_网_f版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎_i泰jz克yx_di新o闻ysh网qtd版权所有,请勿未授权转载!!!




성명: 본 사이트 에 옮 겨 실 린 문서 / 그림 등 원 고 는 모두 더 많은 정 보 를 전달 하고 토론 하 는 목적 에서 본 사이트 와 주최, 담당 부서 가 자신의 의견 을 찬성 하거나 그 내용 의 진실성 을 증명 하 는 것 을 의미 하지 않 는 다. 글 의 내용 은 참고 로 제공 하고 원작 자의 권 리 를 침해 하면 사이트 관리자 에 게 연락 하 십시오.

로그인 으로 논평 을 발표하다

평론 목록

    일시 데이터 없음