VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 3858
VHDL报错std_logic type does not match integer literal
library ieee;
虎fganj泰zpt克ckdf新hnlmn闻l网xlob版权所有,请勿未授权转载!!!
use ieee.std_logic_1164.all;
虎dquip泰sidwg克zmb新nal闻fkzc网slb_版权所有,请勿未授权转载!!!
entity f_adder2 is
虎nehos泰_owi克n新w_hy闻dyn网rk版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎etchj泰a克onvb新fq闻ff网k版权所有,请勿未授权转载!!!
end entity f_adder2;
虎u泰f克cwqo新kb闻a网muhl版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎lau泰mi克oo新r_ji闻yguxe网cygb版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎iqoe泰lxsl克prk_t新rmp闻irzw网gzksg版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎iulf泰hx克a新y闻zom网cksgf版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎pxmns泰ywbf克zjrm新h闻i网v版权所有,请勿未授权转载!!!
case (s) is
虎_ixi泰duzlb克_新hoz闻cn网haut版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎rqd泰ebe_h克oua新v闻yb网s版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎ztlhq泰omf克ptd新fmqx闻jolgk网jhzz版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎kzoi泰wciu克xqvrh新bhqp_闻yylj网cknso版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎un泰y克r新tuldg闻nqfu网ignbb版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎tt泰lalj克xdnaj新qraz闻rryqu网mg版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎u泰zh克fmwmb新kxdea闻ecme网az版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎ztli泰___克ll新idkh闻gi网tywcx版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎_t_k泰sc克kgmo新x闻ws网kns版权所有,请勿未授权转载!!!
when others =>NULL;
虎iup泰uhh克entiy新a闻gn网sre版权所有,请勿未授权转载!!!
end case;
虎d泰bjjh克tc新bq闻eykr网lq版权所有,请勿未授权转载!!!
end process;
虎bqph_泰ik克ula新qx_q闻hja网th版权所有,请勿未授权转载!!!
end architecture;
虎yzz泰ees克ddfet新rymn闻okto网qdu版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎r泰kvlm克t新fbbr闻m网qkaxf版权所有,请勿未授权转载!!!
성명: 본 사이트 에 옮 겨 실 린 문서 / 그림 등 원 고 는 모두 더 많은 정 보 를 전달 하고 토론 하 는 목적 에서 본 사이트 와 주최, 담당 부서 가 자신의 의견 을 찬성 하거나 그 내용 의 진실성 을 증명 하 는 것 을 의미 하지 않 는 다. 글 의 내용 은 참고 로 제공 하고 원작 자의 권 리 를 침해 하면 사이트 관리자 에 게 연락 하 십시오.
평론 목록
-
일시 데이터 없음