虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎hnk泰uqrp克tt新mcjuz闻kwvde网n版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎sjenb泰rcmdj克mo新okflm闻o网hswf版权所有,请勿未授权转载!!!

entity f_adder2 is

虎_nc泰w克moj新tlt闻s网xp版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎litx泰sjbp克x_h新pbqvf闻x网ukmf版权所有,请勿未授权转载!!!

end entity f_adder2;

虎oooz泰fggdw克g新_gjd闻w网gly版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎x泰hw克rcl新_fniw闻h网o_版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎uc泰pdxm克mo新a闻lg网ugxq版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎ycez泰l克ja新kvkkr闻ific网tytxc版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎pqin泰ab克fcqp新px闻ic网cw版权所有,请勿未授权转载!!!

case (s) is

虎atoj泰_ytxr克pj新xal闻dnik网hw_rq版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎bvwk泰s克w新tgmab闻o网kf版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎hcckp泰ohb克turb新ndi闻nq网l版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎baa泰w克g新x闻ywb网nv版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎uy泰xzu克u新y闻fmre网fxq版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎fmv_泰_克xwhsq新upz闻fco网jdm版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎rkrtl泰tzyvf克n新tpubf闻o网_yg版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎_q泰xsi克pml新boy闻opz网uobn版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎ijro泰vhu克zgk新nqnr闻jds网itvi版权所有,请勿未授权转载!!!

when others =>NULL;

虎xhg泰al克plu新bvpr闻ddtsp网buwz版权所有,请勿未授权转载!!!

end case;

虎rmkg泰fpk克dtoz新pmfp闻_ljn网qae_l版权所有,请勿未授权转载!!!

end process;

虎b泰krnuk克gjh新az闻wgvlo网zu版权所有,请勿未授权转载!!!

end architecture;

虎olwtw泰muu克yzi新uevo闻fta网rk版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎enjst泰bu克dz新t闻dwv网eaj版权所有,请勿未授权转载!!!




声明:凡本网转载的文/图等稿件,均出于传递更多信息及方便探讨之目的,并不意味着本站及主办、承办单位赞同其观点或证实其内容的真实性,文章内容仅供参考,如果侵犯了原作者的权利请与网站管理员联系。

登录以发表评论

评论列表

    暂无数据