VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 4899
VHDL报错std_logic type does not match integer literal
library ieee;
虎uvi泰qz_a克k新mlcw闻soyrd网b版权所有,请勿未授权转载!!!
use ieee.std_logic_1164.all;
虎dnch泰p克yuqpr新vag_闻p网rbyev版权所有,请勿未授权转载!!!
entity f_adder2 is
虎gi泰t_克cw新rky闻v网hy_z_版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎schth泰uy克cl新txtd闻orjx网_k版权所有,请勿未授权转载!!!
end entity f_adder2;
虎h泰as克yyx__新ts闻g网jlziv版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎x泰ys克nt新p_pr闻k网h版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎pwp泰gefr克pyfs新fpo_闻po网_版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎w泰z克snpc新cmzu闻sv网rxmtk版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎cwsza泰e克kr新ob闻aaf网gfwvn版权所有,请勿未授权转载!!!
case (s) is
虎maoa泰thscl克l新fm闻bewq网fo版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎blqdp泰ino克c新ex闻ndhhg网l版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎p泰hhtx克k新qvis闻t网nlf版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎aohys泰x_pae克e新ybtlm闻ima网uw版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎f泰t克azas新__ph闻t网kjv版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎y泰fpni克x新zafa闻f网xlsk版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎uqlf泰xrlse克f新ajxsl闻v网pdhdb版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎yg泰yiy克cvcdb新zsl闻fl网hwwen版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎cih泰ffuvc克xt新slpgu闻mdjnl网euqgn版权所有,请勿未授权转载!!!
when others =>NULL;
虎shr泰kjz克kt新pfozu闻id网zamiq版权所有,请勿未授权转载!!!
end case;
虎exeww泰bfmp克ku_新mpnzm闻l网l版权所有,请勿未授权转载!!!
end process;
虎eg泰z克kambf新lvmk闻rnqkj网hd版权所有,请勿未授权转载!!!
end architecture;
虎cdad泰kn克sn新orbpv闻mjpu网dwtpy版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎p泰mh克kvqs新co闻edsm网hlb版权所有,请勿未授权转载!!!
声明:凡本网转载的文/图等稿件,均出于传递更多信息及方便探讨之目的,并不意味着本站及主办、承办单位赞同其观点或证实其内容的真实性,文章内容仅供参考,如果侵犯了原作者的权利请与网站管理员联系。
评论列表
-
暂无数据