虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎z泰wcxom克_oqo新h闻rh网qfszz版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎m泰gzb克wx新q闻dj网jl_q版权所有,请勿未授权转载!!!

entity f_adder2 is

虎yiz泰x克r新pz闻wkvnt网mykkf版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎s泰cpvgj克cn新rgwk闻_w网o版权所有,请勿未授权转载!!!

end entity f_adder2;

虎jfq泰u克pm_新d闻e_网_yjun版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎bvtz泰knp克ejo新zxv闻h网cc_版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎qa泰i克d_新zdf闻yj网hinq版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎hyl泰psfp克lyw新r闻dpf网woww版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎tfw泰qjr克j新fu闻sabe网owe版权所有,请勿未授权转载!!!

case (s) is

虎wsm泰ivhl克rvlb新jyr闻d网lfqbh版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎j泰s克qoh新xuvia闻mtc网mhf版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎t_zy泰q克gypyy新xrvju闻fg网la版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎cu泰nuc克cj_l新_nvvc闻jw网xu版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎ytg泰c克n_b新laa闻uonu网tt版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎vub泰et克gtq新mnr闻rghj网lyw_w版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎vbo泰b克m新k闻qp网kjiov版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎hsmf泰gxm克ejpjt新hhvi闻qye网_xcj版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎adfh泰utjo克wnie新a闻j_网hfz版权所有,请勿未授权转载!!!

when others =>NULL;

虎ajpmy泰v克gmd新flpjp闻jfdq网e版权所有,请勿未授权转载!!!

end case;

虎uotpr泰rik克xtwxe新wyb闻xbuwr网zgqi版权所有,请勿未授权转载!!!

end process;

虎ueaa泰mduu克si_gy新gb闻jtpum网lmx版权所有,请勿未授权转载!!!

end architecture;

虎rve泰zspn克yjxw新quv闻sztd网_ou版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎phgf泰in_克wlvc新gct闻tch网v_tt版权所有,请勿未授权转载!!!




声明:凡本网转载的文/图等稿件,均出于传递更多信息及方便探讨之目的,并不意味着本站及主办、承办单位赞同其观点或证实其内容的真实性,文章内容仅供参考,如果侵犯了原作者的权利请与网站管理员联系。

登录以发表评论

评论列表

    暂无数据