虎泰克博客

VHDL报错std_logic type does not match integer literal


VHDL报错std_logic type does not match integer literal

library ieee;

虎qbjn泰dkm克zey新uaml闻pi网azfe版权所有,请勿未授权转载!!!

use ieee.std_logic_1164.all;

虎vzl_泰erm克sxwyg新xgbyg闻rdbw网bif_版权所有,请勿未授权转载!!!

entity f_adder2 is

虎dh泰z克dtk新gzzpc闻p网gw版权所有,请勿未授权转载!!!

port(a,b,c : in std_logic; so,co : out std_logic);

虎je泰mevuh克klul新icxeh闻jsxb网uxhp版权所有,请勿未授权转载!!!

end entity f_adder2;

虎n泰cinpg克g新r闻bcc网v版权所有,请勿未授权转载!!!

architecture bhv of f_adder2 is

虎pp泰wwty克_zsbq新k闻cytb网r版权所有,请勿未授权转载!!!

signal s : std_logic_vector(2 downto 0);

虎cqa泰wmhm克j__zx新_ezj闻u网__版权所有,请勿未授权转载!!!

begin s<=c&b&a;

虎ckb泰ffx克ysc新htnt闻dtme网bum版权所有,请勿未授权转载!!!

process(c,b,a) begin

虎ber泰hvytb克hee新h_闻aoduf网clb版权所有,请勿未授权转载!!!

case (s) is

虎ajz泰zoxt克sm新yov闻szap网hlsyp版权所有,请勿未授权转载!!!

when "000" => so<=0;co<=0;

虎myzab泰ihwru克nqo新td闻kn网lcwho版权所有,请勿未授权转载!!!

when "001" => so<=1;co<=0;

虎o泰oz克b新u_mt闻imp网jibr版权所有,请勿未授权转载!!!

when "010" => so<=1;co<=0;

虎t泰w克r_d新bqi闻_ioff网bljs_版权所有,请勿未授权转载!!!

when "011" => so<=0;co<=1;

虎a泰fks克srcld新wr闻rhm网d版权所有,请勿未授权转载!!!

when "100" => so<=1;co<=0;

虎dm泰yu克n新si闻ax网aqd版权所有,请勿未授权转载!!!

when "101" => so<=0;co<=1;

虎mvwo泰ndyn克cc新ixw闻razu网eazjd版权所有,请勿未授权转载!!!

when "110" => so<=0;co<=1;

虎frpmx泰r克yulk新koih闻z网_版权所有,请勿未授权转载!!!

when "111" => so<=1;co<=1;

虎ngp泰jz克skfi新yht闻s网x版权所有,请勿未授权转载!!!

when others =>NULL;

虎zeii泰eszmn克vr新vhxcs闻syfsm网cywf版权所有,请勿未授权转载!!!

end case;

虎pdla泰phhro克lw新xg闻pg网lq版权所有,请勿未授权转载!!!

end process;

虎ar泰gfse克skcw新ai闻znv_d网aw版权所有,请勿未授权转载!!!

end architecture;

虎jmgt泰k克jd新zdn闻frhb网ni版权所有,请勿未授权转载!!!

定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号

虎v泰jrtm克vi新pw闻jhn网qp版权所有,请勿未授权转载!!!




声明:凡本网转载的文/图等稿件,均出于传递更多信息及方便探讨之目的,并不意味着本站及主办、承办单位赞同其观点或证实其内容的真实性,文章内容仅供参考,如果侵犯了原作者的权利请与网站管理员联系。

登录以发表评论

评论列表

    暂无数据