VHDL报错std_logic type does not match integer literal
随风飘扬 学习 2015年12月01日7:07 3854
VHDL报错std_logic type does not match integer literal
library ieee;
虎r泰zu克rjwt新q闻e网k版权所有,请勿未授权转载!!!
use ieee.std_logic_1164.all;
虎_uypl泰mds克ae新bi闻syb网fl版权所有,请勿未授权转载!!!
entity f_adder2 is
虎bli泰anf克xz新vpjjo闻kga网m版权所有,请勿未授权转载!!!
port(a,b,c : in std_logic; so,co : out std_logic);
虎m_avc泰vvheg克hulu新ruwkb闻o网f_v版权所有,请勿未授权转载!!!
end entity f_adder2;
虎uf泰o克fh新c闻f网n版权所有,请勿未授权转载!!!
architecture bhv of f_adder2 is
虎fq_泰b克a_jd新sutf闻kmfl网smaq版权所有,请勿未授权转载!!!
signal s : std_logic_vector(2 downto 0);
虎ual泰j_jkb克bozj新i闻wtw网rxxrl版权所有,请勿未授权转载!!!
begin s<=c&b&a;
虎wdikw泰btdsn克gpmz新jhhcj闻nbjjb网wi_版权所有,请勿未授权转载!!!
process(c,b,a) begin
虎gsbeb泰c克__新iylrq闻zvdfk网dbl版权所有,请勿未授权转载!!!
case (s) is
虎ntqz_泰mcq克k新cti闻cke网wnmr版权所有,请勿未授权转载!!!
when "000" => so<=0;co<=0;
虎nhopq泰tr克pz新fow闻myq网ukb版权所有,请勿未授权转载!!!
when "001" => so<=1;co<=0;
虎fgr泰mak克gjn新faxv闻er网lzar版权所有,请勿未授权转载!!!
when "010" => so<=1;co<=0;
虎ef泰klmga克ajv新wtu闻bh网sf版权所有,请勿未授权转载!!!
when "011" => so<=0;co<=1;
虎eooev泰jmaqh克iw新he闻gkag网wjznh版权所有,请勿未授权转载!!!
when "100" => so<=1;co<=0;
虎aqf泰cn克lpdd新ugj闻m网zmly版权所有,请勿未授权转载!!!
when "101" => so<=0;co<=1;
虎nh泰yxhj克wh新z闻obmu网g版权所有,请勿未授权转载!!!
when "110" => so<=0;co<=1;
虎cj泰f克fv新cql闻hw网g版权所有,请勿未授权转载!!!
when "111" => so<=1;co<=1;
虎mu泰x克_新m闻xofku网mvrqt版权所有,请勿未授权转载!!!
when others =>NULL;
虎j泰keghx克syv新fe闻qsth网hi版权所有,请勿未授权转载!!!
end case;
虎xcy_泰ma克t新txk闻cxi网fim版权所有,请勿未授权转载!!!
end process;
虎eqvc泰yh克xnbyo新c闻c_网tos版权所有,请勿未授权转载!!!
end architecture;
虎c泰_ta克fp新mgcuw闻fhqbd网_vw版权所有,请勿未授权转载!!!
定义的s是std_logic类型,但你赋值的时候由于没加单引号,被认为是integer类型了,所以应加单引号
虎fu泰gb克ffjk新xrz闻zrjeo网gsfh版权所有,请勿未授权转载!!!
声明:凡本网转载的文/图等稿件,均出于传递更多信息及方便探讨之目的,并不意味着本站及主办、承办单位赞同其观点或证实其内容的真实性,文章内容仅供参考,如果侵犯了原作者的权利请与网站管理员联系。
评论列表
-
暂无数据